Dynamic prediction of architectural vulnerability from microarchitectural state
暂无分享,去创建一个
[1] Shubhendu S. Mukherjee,et al. Transient fault detection via simultaneous multithreading , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[2] Todd M. Austin,et al. A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor , 2003, MICRO.
[3] Rajeev Balasubramonian,et al. A First-Order Analysis of Power Overheads of Redundant Multi-Threading , 2006 .
[4] Shubhendu S. Mukherjee,et al. Detailed design and evaluation of redundant multithreading alternatives , 2002, ISCA.
[5] Dirk Grunwald,et al. Confidence estimation for speculation control , 1998, ISCA.
[6] Eric Rotenberg,et al. AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[7] Tao Li,et al. Characterizing Microarchitecture Soft Error Vulnerability Phase Behavior , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.
[8] Kevin Skadron,et al. The visual vulnerability spectrum: characterizing architectural vulnerability for graphics hardware , 2006, GH '06.
[9] Irith Pomeranz,et al. Transient-fault recovery using simultaneous multithreading , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[10] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[11] David I. August,et al. SWIFT: software implemented fault tolerance , 2005, International Symposium on Code Generation and Optimization.
[12] Chin-Long Chen,et al. Error-Correcting Codes for Semiconductor Memory Applications: A State-of-the-Art Review , 1984, IBM J. Res. Dev..
[13] Aneesh Aggarwal,et al. Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[14] Heng Tao Shen,et al. Principal Component Analysis , 2009, Encyclopedia of Biometrics.
[15] Anand Sivasubramaniam,et al. SlicK: slice-based locality exploitation for efficient redundant multithreading , 2006, ASPLOS XII.
[16] Lieven Eeckhout,et al. Performance prediction based on inherent program similarity , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[17] Eric Rotenberg,et al. Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance , 2006, ASPLOS XII.
[18] James F. Ziegler,et al. Terrestrial cosmic rays , 1996, IBM J. Res. Dev..
[19] David García,et al. NonStop/spl reg/ advanced architecture , 2005, 2005 International Conference on Dependable Systems and Networks (DSN'05).
[20] Irith Pomeranz,et al. Transient-fault recovery for chip multiprocessors , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[21] Babak Falsafi,et al. Fingerprinting: bounding soft-error-detection latency and bandwidth , 2004, IEEE Micro.
[22] Babak Falsafi,et al. Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[23] Timothy J. Slegel,et al. IBM's S/390 G5 microprocessor design , 1999, IEEE Micro.
[24] Sandhya Dwarkadas,et al. Characterizing and predicting program behavior and its variability , 2003, 2003 12th International Conference on Parallel Architectures and Compilation Techniques.
[25] Lieven Eeckhout,et al. Workload design: selecting representative program-input pairs , 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.
[26] Anand Sivasubramaniam,et al. A complexity-effective approach to ALU bandwidth enhancement for instruction-level temporal redundancy , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[27] T. N. Vijaykumar,et al. Opportunistic transient-fault detection , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[28] Michael C. Huang,et al. Exploiting coarse-grain verification parallelism for power-efficient fault tolerance , 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).
[29] Arijit Biswas,et al. Computing architectural vulnerability factors for address-based structures , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[30] David I. August,et al. Design and evaluation of hybrid fault-detection systems , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[31] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.