Managing Circuit Don't Cares in Boolean Satisfiability

Managing Circuit Don’t Cares in Boolean Satisfiability Sean A. Safarpour Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto 2005 Boolean Satisfiability solvers are widely used in many VLSI Computer Aided Design applications. Their popularity is due to recent developments such as effective search space pruning, decision making, and learning from previous mistakes. Most SAT algorithms and performance improvement techniques focus on the core engine and do not exploit circuit specific properties. Historically, properties such as don’t care conditions have played an important role in problems such as test pattern generation and circuit synthesis. This thesis presents a number of techniques that increase SAT solver performance by taking advantage of circuit don’t care conditions. General strategies and specific heuristics are developed that utilize a circuit’s observability don’t cares, controllability don’t cares, and don’t care states to improve SAT solver efficiency for formal verification problems. Extensive experiments demonstrate the benefits of don’t care conditions on benchmark suites as well as industrial circuits.

[1]  Masahiro Fujita,et al.  Symbolic model checking using SAT procedures instead of BDDs , 1999, DAC '99.

[2]  Fabio Somenzi,et al.  CUDD: CU Decision Diagram Package Release 2.2.0 , 1998 .

[3]  Zijiang Yang,et al.  Dynamic detection and removal of inactive clauses in SAT with application in image computation , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[4]  Miroslav N. Velev,et al.  Exploiting signal unobservability for efficient translation to CNF in formal verification of microprocessors , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[5]  Rolf Drechsler Formal Verification of Circuits , 2000, Springer US.

[6]  Joao Marques-Silva,et al.  GRASP-A new search algorithm for satisfiability , 1996, Proceedings of International Conference on Computer Aided Design.

[7]  Alan J. Hu,et al.  Approximate reachability with BDDs using overlapping projections , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[8]  Kenneth L. McMillan,et al.  Applying SAT Methods in Unbounded Symbolic Model Checking , 2002, CAV.

[9]  Giovanni De Micheli,et al.  Synthesis and Optimization of Digital Circuits , 1994 .

[10]  Armin Biere,et al.  Symbolic Model Checking without BDDs , 1999, TACAS.

[11]  Kwang-Ting Cheng,et al.  A circuit SAT solver with signal correlation guided learning , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[12]  G. Hachtel,et al.  Approximate Reachability Don't Cares for CTL model checking , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[13]  Shi-Yu Huang,et al.  Formal Equivalence Checking and Design Debugging , 1998 .

[14]  Tracy Larrabee,et al.  Test pattern generation using Boolean satisfiability , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Wolfgang Rosenstiel,et al.  Multilevel logic synthesis based on functional decision diagrams , 1992, [1992] Proceedings The European Conference on Design Automation.

[16]  Armin Biere,et al.  Bounded Model Checking Using Satisfiability Solving , 2001, Formal Methods Syst. Des..

[17]  Edward J. McCluskey,et al.  Stuck-fault tests vs. actual defects , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[18]  Aarti Gupta,et al.  Integrating a Boolean satisfiability checker and BDDs for combinational equivalence checking , 1998, Proceedings Eleventh International Conference on VLSI Design.

[19]  Markus Wedler,et al.  Structural FSM traversal , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  F. Ferrari,et al.  System-on-a-chip verification~methodology and techniques , 2002, IEEE Circuits and Devices Magazine.

[21]  Rina Dechter,et al.  Resolution versus Search: Two Strategies for SAT , 2000, Journal of Automated Reasoning.

[22]  M. K. Iyer,et al.  SATORI - A Fast Sequential SAT Engine for Circuits , 2003, ICCAD 2003.

[23]  Robert K. Brayton,et al.  SAT-based complete don't-care computation for network optimization , 2005, Design, Automation and Test in Europe.

[24]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[25]  Chao Wang,et al.  Abstraction and BDDs Complement SAT-Based BMC in DiVer , 2003, CAV.

[26]  Sharad Malik,et al.  Analysis of Search Based Algorithms for Satisfiability of Quantified Boolean Formulas Arising from Circuit State Space Diameter Problems , 2004, SAT.

[27]  Rob A. Rutenbar,et al.  A new FPGA detailed routing approach via search-based Booleansatisfiability , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Fabio Somenzi,et al.  Efficient Conflict Analysis for Finding All Satisfying Assignments of a Boolean Circuit , 2005, TACAS.

[29]  Fabio Somenzi,et al.  Logic synthesis and verification algorithms , 1996 .

[30]  Stephen A. Cook,et al.  The complexity of theorem-proving procedures , 1971, STOC.

[31]  Edmund M. Clarke,et al.  SAT-based algorithms for logic minimization , 2003, Proceedings 21st International Conference on Computer Design.

[32]  Bin Li,et al.  A novel SAT all-solutions solver for efficient preimage computation , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[33]  Donald W. Loveland,et al.  A machine program for theorem-proving , 2011, CACM.

[34]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[35]  Robert K. Brayton,et al.  Using SAT for combinational equivalence checking , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[36]  Edmund M. Clarke,et al.  Symbolic Model Checking: 10^20 States and Beyond , 1990, Inf. Comput..

[37]  Rolf Drechsler,et al.  Advanced Formal Verification , 2004 .

[38]  Luis Miguel Silveira,et al.  Timing analysis using propositional satisfiability , 1998, 1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196).

[39]  Sharad Malik,et al.  Considering circuit observability don't cares in CNF satisfiability , 2005, Design, Automation and Test in Europe.

[40]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[41]  Thomas Kropf,et al.  Introduction to Formal Hardware Verification , 1999, Springer Berlin Heidelberg.

[42]  Rolf Drechsler,et al.  Managing don't cares in Boolean satisfiability , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[43]  Armin Biere,et al.  Combining Decision Diagrams and SAT Procedures for Efficient Symbolic Model Checking , 2000, CAV.

[44]  In-Cheol Park,et al.  SAT-based unbounded symbolic model checking , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[45]  Kenneth L. McMillan,et al.  Approximation and decomposition of binary decision diagrams , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[46]  Sartaj Sahni,et al.  The Complexity of Design Automation Problems , 1980, 17th Design Automation Conference.

[47]  Sharad Malik,et al.  Chaff: engineering an efficient SAT solver , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[48]  Gianpiero Cabodi,et al.  Improving SAT-based bounded model checking by means of BDD-based approximate traversals , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[49]  Rolf Drechsler,et al.  Utilizing don't care states in SAT-based bounded sequential problems , 2005, GLSVLSI '05.

[50]  Rolf Drechsler,et al.  Debugging sequential circuits using Boolean satisfiability , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[51]  Hilary Putnam,et al.  A Computing Procedure for Quantification Theory , 1960, JACM.

[52]  Michael S. Hsiao,et al.  Enhancing SAT-based Bounded Model Checking using sequential logic implications , 2004, 17th International Conference on VLSI Design. Proceedings..