Reliability concerns in copper TSV's: Methods and results

Due to their large volume and close proximity to devices, the reliability of copper TSV's is a concern, both with respect to mechanical stresses induced by the TSV in the Si and with respect to copper drift into the liner and the Si. This abstract summarizes recent achievements obtained in imec's 3D-reliability work package where above mentioned reliability concerns are evaluated in detail. To study the impact of mechanical stresses induced by the TSV in the Si, the saturation drain currents Id of transistors have been used as stress sensors. The offset of the Id of transistors closer to a TSV with respect to transistors far away from a TSV has been studied, both directly after processing and after thermal storage and thermal shock. It is shown that stresses generated by the TSV in the Si increase after thermal storage above certain temperatures while thermal shock reduces these stresses. The first is attributed to stress relaxation at high temperatures, while the latter is attributed to cracking/delamination at critical interfaces. To study continuity in TSV-barriers, a method, further referred to as dual ramp rate IVctrl, is introduced. The method consists of controlled current-voltage sweeps at different rates. The difference in breakdown fields for different ramp rates allows estimating TDDB (=Time Dependent Dielectric Breakdown) field acceleration parameters. Applying a negative voltage to the TSV (-V) does not allow copper to drift into the liner, while when applying a positive voltage (+V) to the TSV, copper can drift into the liner in case of a defective, non-continuous barrier. Comparing TDDB field acceleration parameters of -V versus +V tests gives insight in barrier properties. In our study, weak reliability is observed in systems where the TSV-barriers are not continuous.

[1]  K. Croes,et al.  Electrical characterization method to study barrier integrity in 3D through-silicon vias , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[2]  E. Beyne,et al.  In-depth Raman spectroscopy analysis of various parameters affecting the mechanical stress near the surface and bulk of Cu-TSVs , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[3]  E. Beyne,et al.  Impact of through silicon vias on front-end-of-line performance after thermal cycling and thermal storage , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[4]  Wen Wu,et al.  A physical model of time-dependent dielectric breakdown in copper metallization , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[5]  Bart Vandevelde,et al.  Elimination Of The Axial Deformation Problem Of Cu-TSV In 3D Integration , 2010 .

[6]  Christopher J. Wilson,et al.  Role of copper in time dependent dielectric breakdown of porous organo-silicate glass low-k materials , 2011 .

[7]  P. Soussan,et al.  Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k / metal gate CMOS performance , 2010, 2010 International Electron Devices Meeting.

[8]  W. Mckee,et al.  Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[9]  Eric Beyne,et al.  An analytical compact model for estimation of stress in multiple Through-Silicon Via configurations , 2011, 2011 Design, Automation & Test in Europe.

[10]  Chao-Kun Hu,et al.  Copper interconnections and reliability , 1998 .

[11]  Arnold Berman,et al.  Time-Zero Dielectric Reliability Test by a Ramp Method , 1981, 19th International Reliability Physics Symposium.

[12]  A. Jourdain,et al.  3D stacked IC demonstration using a through Silicon Via First approach , 2008, 2008 IEEE International Electron Devices Meeting.

[13]  Bart Swinnen,et al.  3D System Integration Technologies , 2007, ICICDT 2007.

[14]  J. McPherson,et al.  UNDERLYING PHYSICS OF THE THERMOCHEMICAL E MODEL IN DESCRIBING LOW-FIELD TIME-DEPENDENT DIELECTRIC BREAKDOWN IN SIO2 THIN FILMS , 1998 .

[15]  Ennis T. Ogawa,et al.  Reliability analysis method for low-k interconnect dielectrics breakdown in integrated circuits , 2005 .

[16]  Bart Vandevelde,et al.  Cu pumping in TSVs: Effect of pre-CMP thermal budget , 2011, Microelectron. Reliab..

[17]  E. Beyne,et al.  FET arrays as CPI sensors for 3D stacking and packaging characterization , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).