Physical Analysis of NoC Topologies for 3-D Integrated Systems
暂无分享,去创建一个
[1] Yehea Ismail,et al. Figures of merit to characterize the importance of on-chip inductance , 1999 .
[2] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[3] Eby G. Friedman,et al. Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[4] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[5] Guoqing Chen,et al. Effective Capacitance of Inductive Interconnects for Short-Circuit Power Analysis , 2008, IEEE Transactions on Circuits and Systems II: Express Briefs.
[6] Hsien-Hsin S. Lee,et al. Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[7] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[8] K. Saraswat,et al. Analytical thermal model for multilevel VLSI interconnects incorporating via effect , 2002, IEEE Electron Device Letters.
[9] K.C. Saraswat,et al. Thermal analysis of heterogeneous 3D ICs with various integration scenarios , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[10] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2007, IEEE Trans. Very Large Scale Integr. Syst..
[11] J. Joyner,et al. Opportunities for reduced power dissipation using three-dimensional integration , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).
[12] Takayasu Sakurai,et al. Analysis and future trend of short-circuit power , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Axel Jantsch,et al. Networks on chip , 2003 .
[14] Guoqing Chen,et al. Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Chita R. Das,et al. MIRA: A Multi-layered On-Chip Interconnect Router Architecture , 2008, 2008 International Symposium on Computer Architecture.
[16] Keith A. Bowman,et al. Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI) , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[17] Yehea I. Ismail,et al. Equivalent Elmore delay for RLC trees , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[18] P. R. O'Brien,et al. Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, ICCAD 1989.
[19] James D. Meindl,et al. A physical alpha-power law MOSFET model , 1999 .
[20] William J. Dally,et al. A Delay Model for Router Microarchitectures , 2001, IEEE Micro.
[21] Eby G. Friedman,et al. Interconnect-Based Design Methodologies for Three-Dimensional Integrated Circuits , 2009, Proceedings of the IEEE.
[22] Hao Hua,et al. Performance Trend in Three-Dimensional Integrated Circuits , 2006, 2006 International Interconnect Technology Conference.
[23] Eby G. Friedman,et al. Three-dimensional Integrated Circuit Design , 2008 .
[24] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[25] Ja Chun Ku,et al. Thermal-aware methodology for repeater insertion in low-power VLSI circuits , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[26] S. L. Garverick,et al. A simple model for scaled MOS transistors that includes field-dependent mobility , 1987 .
[27] Takayasu Sakurai,et al. Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .
[28] Kaustav Banerjee,et al. A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .
[29] Fernando Gehm Moraes,et al. Exploring NoC mapping strategies: an energy and timing aware technique , 2005, Design, Automation and Test in Europe.
[30] Payman Zarkesh-Ha,et al. Impact of three-dimensional architectures on interconnects in gigascale integration , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[31] A. R. Newton,et al. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .
[32] Charles Addo-Quaye,et al. Thermal-aware mapping and placement for 3-D NoC designs , 2005, Proceedings 2005 IEEE International SOC Conference.
[33] Hendrikus J. M. Veendrick,et al. Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .
[34] Luca Benini,et al. SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, DATE.
[35] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[36] Sharad Malik,et al. Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[37] Axel Jantsch,et al. The Nostrum backbone-a communication protocol stack for Networks on Chip , 2004, 17th International Conference on VLSI Design. Proceedings..
[38] H. B. Bakoglu,et al. Circuits, interconnections, and packaging for VLSI , 1990 .
[39] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.