Variability Aware Low-Power Delay Optimal Buffer Insertion for Global Interconnects

Global interconnect delay variations may cause clock skew, unpredictable signal line delays, and degraded system performance. Conventional variation mitigation techniques incur large delay and power overheads, as variability increases in sub-65 nm technologies. This paper presents a methodology to include robustness optimization in power-delay optimal buffer insertion. Closed form expressions are derived for the delay variation model used in the optimization and its accuracy is verified against simulation results. Using the power, delay, and delay variation models, a design space is constructed for the interconnect. Through power-robustness trade-off analysis of the design space, the optimal buffering solution for the interconnect is computed. Comparison with simulation results verifies the accuracy of the optimal solution computed using this method. The application of this methodology in enhancing robustness of clock networks during buffer insertion phase is demonstrated and simulation results presented.

[1]  David Z. Pan,et al.  Fast incremental link insertion in clock networks for skew variability reduction , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[2]  Guoqing Chen,et al.  Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Jeng-Liang Tsai,et al.  Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[4]  Cheng-Kok Koh,et al.  Process variation robust clock tree routing , 2005, ASP-DAC.

[5]  Hai Zhou,et al.  Fast Min-Cost Buffer Insertion under Process Variations , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[6]  Guoqing Chen,et al.  Low power repeaters driving RLC interconnects with delay and bandwidth constraints , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[7]  Eby G. Friedman,et al.  Uniform repeater insertion in RC trees , 2000 .

[8]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[9]  Lawrence T. Pileggi,et al.  Reliable Non-Zero Skew Clock Trees Using Wire Width Optimization , 1993, 30th ACM/IEEE Design Automation Conference.

[10]  Jeng-Liang Tsai,et al.  Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  P.R. O'Brien,et al.  Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[12]  Jiang Hu,et al.  Process variation aware clock tree routing , 2003, ISPD '03.

[13]  S.R. Nassif,et al.  A more effective C/sub EFF/ , 2005, Sixth international symposium on quality electronic design (isqed'05).

[14]  Weiping Shi,et al.  An O(mn) time algorithm for optimal buffer insertion of nets with m sinks , 2006, ASP-DAC.

[15]  Lawrence T. Pileggi,et al.  Modeling the "Effective capacitance" for the RC interconnect of CMOS gates , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  N. P. van der Meijs,et al.  Simultaneous Analytic Area and Power Optimization for Repeater Insertion , 2003, ICCAD.

[17]  Wayne Burleson,et al.  A practical approach to DSM repeater insertion: satisfying delay constraints while minimizing area and power , 2001, Proceedings 14th Annual IEEE International ASIC/SOC Conference (IEEE Cat. No.01TH8558).

[18]  Azadeh Davoodi,et al.  Variability-driven buffer insertion considering correlations , 2005, 2005 International Conference on Computer Design.

[19]  Kaustav Banerjee,et al.  A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variations , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[20]  Mohamad Sawan,et al.  On Modeling of Parallel Repeater-Insertion Methodologies for SoC Interconnects , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[21]  Takayasu Sakurai,et al.  A simple MOSFET model for circuit analysis , 1991 .

[22]  Kjell O. Jeppson,et al.  CMOS Circuit Speed and Buffer Optimization , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[24]  Spiridon Nikolaidis,et al.  Analytical transient response and propagation delay evaluation of the CMOS inverter for short-channel devices , 1998, IEEE J. Solid State Circuits.

[25]  A. Kumar,et al.  The Impact of Back-End-of-Line Process Variations on Critical Path Timing , 2006, 2006 International Interconnect Technology Conference.

[26]  Marios C. Papaefthymiou,et al.  A clock tree topology extraction algorithm for improving the tolerance of clock distribution networks to delay uncertainty , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

[27]  V. Adler,et al.  Delay and Power Expressions for a CMOS Inverter Driving a Resistive-Capacitive Load , 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

[28]  Takayasu Sakurai,et al.  Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .

[29]  S. Nikolaidis,et al.  Delay and power estimation for a CMOS inverter driving RC interconnect loads , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).