Interconnect delay testings of designs on programmable logic devices

Very thorough interconnect delay testing technique for designs implemented on programmable logic devices, such as FPGAs, is presented (application-dependent test). The presented technique achieves 1) 100% robust path delay coverage on all the paths in the design, 2) 100% transition fault coverage, and 3) 100% TARO coverage, transition to all reachable primary outputs. The required number of test configurations is two or four depending on the structure of the design. An algorithmic approach to generate the test vectors and configurations is presented.

[1]  Mehdi Baradaran Tahoori,et al.  Diagnosis of open defects in FPGA interconnect , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

[2]  Premachandran R. Menon,et al.  BIST-based delay path testing in FPGA architectures , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[3]  Melvin A. Breuer,et al.  High quality robust tests for path delay faults , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[4]  Hideo Fujiwara,et al.  A test methodology for interconnect structures of LUT-based FPGAs , 1996, Proceedings of the Fifth Asian Test Symposium (ATS'96).

[5]  Edward J. McCluskey,et al.  Multiple-output propagation transition fault test , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[6]  Andrzej Krasniewski Testing FPGA delay faults in the system environment is very different from "ordinary" delay fault testing , 2001, Proceedings Seventh International On-Line Testing Workshop.

[7]  Yervant Zorian,et al.  IS-FPGA : a new symmetric FPGA architecture with implicit scan , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[8]  Charles E. Stroud,et al.  Built-in self-test of FPGA interconnect , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[9]  Edward J. McCluskey,et al.  An experimental chip to evaluate test techniques experiment results , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

[10]  Mehdi Baradaran Tahoori,et al.  Fault grading FPGA interconnect test configurations , 2002, Proceedings. International Test Conference.

[11]  Patrick Girard,et al.  Defect analysis for delay-fault BIST in FPGAs , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..

[12]  E. Chmelaf Fpga interconnect delay fault testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[13]  Michel Renovell Some Aspects of the Test Generation Problem for an Application-Oriented Test of SRAM-Based FPGAs , 2003, J. Circuits Syst. Comput..

[14]  Shahin Toutounchi,et al.  FPGA test and coverage , 2002, Proceedings. International Test Conference.

[15]  Fabrizio Lombardi,et al.  A diagnosis method for interconnects in SRAM based FPGAs , 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

[16]  Gabriel Robins,et al.  New performance-driven FPGA routing algorithms , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Andrzej Krasniewski Application-dependent testing of FPGA delay faults , 1999, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium.

[18]  Nur A. Touba,et al.  Configuration self-test in FPGA-based reconfigurable systems , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[19]  Ronald L. Rivest,et al.  Introduction to Algorithms , 1990 .

[20]  Andrzej Krasniewski Evaluation of Testability of Path Delay Faults for User-Configured Programmable Devices , 2003, FPL.

[21]  Russell Tessier,et al.  Diagnosis of interconnect faults in cluster-based FPGA architectures , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[22]  Jian Xu,et al.  Novel technique for built-in self-test of FPGA interconnects , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[23]  Charles E. Stroud,et al.  BIST-Based Delay-Fault Testing in FPGAs , 2003, J. Electron. Test..

[24]  Nur A. Touba,et al.  A low cost approach for detecting, locating, and avoiding interconnect faults in FPGA-based reconfigurable systems , 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).

[25]  Fabrizio Lombardi,et al.  On the diagnosis of programmable interconnect systems: Theory and application , 1996, Proceedings of 14th VLSI Test Symposium.

[26]  Yervant Zorian,et al.  Testing the Interconnect of RAM-Based FPGAs , 1998, IEEE Des. Test Comput..