Write Energy Reduction for PCM via Pumping Efficiency Improvement

The emerging Phase Change Memory (PCM) is considered to be a promising candidate to replace DRAM as the next generation main memory due to its higher scalability and lower leakage power. However, the high write power consumption has become a major challenge in adopting PCM as main memory. In addition to the fact that writing to PCM cells requires high write current and voltage, current loss in the charge pumps also contributes a large percentage of high power consumption. The pumping efficiency of a PCM chip is a concave function of the write current. Leveraging the characteristics of the concave function, the overall pumping efficiency can be improved if the write current is uniform. In this article, we propose a peak-to-average (PTA) write scheme, which smooths the write current fluctuation by regrouping write units. In particular, we calculate the current requirements for each write unit by their values when they are evicted from the last level cache (LLC). When the write units are waiting in the memory controller, we regroup the write units by LLC-assisted PTA to reach the current-uniform goal. Experimental results show that LLC-assisted PTA achieved 13.4% of overall energy saving compared to the baseline.

[1]  Jun Yang,et al.  FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[2]  Hye-Jin Kim,et al.  A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[3]  Wei-Che Tseng,et al.  Write activity reduction on non-volatile main memories for embedded chip multiprocessors , 2013, TECS.

[4]  Yifeng Zhu,et al.  Accelerating write by exploiting PCM asymmetries , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[5]  Vijayalakshmi Srinivasan,et al.  Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.

[6]  Rami G. Melhem,et al.  Increasing PCM main memory lifetime , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[7]  Tao Li,et al.  Mercury: A fast and energy-efficient multi-level cell based Phase Change Memory system , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[8]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[9]  D. Pappalardo,et al.  Charge Pump Circuits: An Overview on Design Strategies and Topologies , 2010, IEEE Circuits and Systems Magazine.

[10]  Jun Yang,et al.  A low power and reliable charge pump design for Phase Change Memories , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[11]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[12]  Luis A. Lastras,et al.  PreSET: Improving performance of phase change memories by exploiting asymmetry in write times , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[13]  Jin Xiong,et al.  DWC: dynamic write consolidation for phase change memory systems , 2014, ICS '14.

[14]  Xiang Gao,et al.  Write-back aware shared last-level cache management for hybrid main memory , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[15]  Moinuddin K. Qureshi,et al.  Reducing read latency of phase change memory via early read and Turbo Read , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[16]  Xi Zhang,et al.  A Read-Write Aware Replacement Policy for Phase Change Memory , 2011, APPT.

[17]  Liang Shi,et al.  Peak-to-average pumping efficiency improvement for charge pump in Phase Change Memories , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[18]  Ricardo Bianchini,et al.  Page placement in hybrid memory systems , 2011, ICS '11.

[19]  Francisco Tirado,et al.  Reducing writes in Phase-Change Memory environments by using efficient cache replacement policies , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[20]  Yuan Xue,et al.  Minimizing MLC PCM write energy for free through profiling-based state remapping , 2015, The 20th Asia and South Pacific Design Automation Conference.

[21]  G. Palumbo,et al.  Charge pump with adaptive stages for non-volatile memories , 2006 .

[22]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[23]  Qi Wang,et al.  A 20nm 1.8V 8Gb PRAM with 40MB/s program bandwidth , 2012, 2012 IEEE International Solid-State Circuits Conference.

[24]  Byung-Gil Choi,et al.  A 90 nm 1.8 V 512 Mb Diode-Switch PRAM With 266 MB/s Read Throughput , 2008, IEEE Journal of Solid-State Circuits.

[25]  Hyunjin Lee,et al.  Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[26]  Kartik Mohanram,et al.  CompEx: Compression-expansion coding for energy, latency, and lifetime improvements in MLC/TLC NVM , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[27]  Seung-Yun Lee,et al.  A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme , 2007, 2007 IEEE International Symposium on Circuits and Systems.