A Variability-Aware Adaptive Test Flow for Test Quality Improvement
暂无分享,去创建一个
Kazumi Hatayama | Kazuya Masu | Takashi Sato | Takumi Uezono | Takashi Aikyo | Michihiro Shintani | Tomoyuki Takahashi
[1] M. Ketchen,et al. Ring oscillator based technique for measuring variability statistics , 2006, 2006 IEEE International Conference on Microelectronic Test Structures.
[2] Jinjun Xiong,et al. Optimal Margin Computation for At-Speed Test , 2008, 2008 Design, Automation and Test in Europe.
[3] Kazuya Masu,et al. On-die parameter extraction from path-delay measurements , 2009, 2009 IEEE Asian Solid-State Circuits Conference.
[4] Kwang-Ting Cheng,et al. Classification and identification of nonrobust untestable path delay faults , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] Liang-Teck Pang,et al. Impact of Layout on 90nm CMOS Process Parameter Fluctuations , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[6] Alex Orailoglu,et al. Full exploitation of process variation space for continuous delivery of optimal delay test quality , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
[7] Scott Benner,et al. Optimal production test times through adaptive test programming , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[8] Wen-Ben Jone,et al. An adaptive path selection method for delay testing , 2001, IEEE Trans. Instrum. Meas..
[9] Hideo Fujiwara,et al. Fast false path identification based on functional unsensitizability using RTL information , 2009, 2009 Asia and South Pacific Design Automation Conference.
[10] Jacob A. Abraham,et al. Recursive Path Selection for Delay Fault Testing , 2009, 2009 27th IEEE VLSI Test Symposium.
[11] Hiroyuki Ochi,et al. Path clustering for adaptive test , 2010, 2010 28th VLSI Test Symposium (VTS).
[12] Kurt Keutzer,et al. A general probabilistic framework for worst case timing analysis , 2002, DAC '02.
[13] Sani R. Nassif,et al. Design for Manufacturability and Statistical Design - A Constructive Approach , 2007, Series on integrated circuits and systems.
[14] Jinjun Xiong,et al. Statistical multilayer process space coverage for at-speed test , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[15] A. Gattiker,et al. Timing yield estimation from static timing analysis , 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.
[16] Kwang-Ting Cheng,et al. Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[17] Kazuya Masu,et al. Determination of optimal polynomial regression function to decompose on-die systematic and random variations , 2008, 2008 Asia and South Pacific Design Automation Conference.
[18] W. Robert Daasch,et al. The value of statistical testing for quality, yield and test cost improvement , 2005, IEEE International Conference on Test, 2005..
[19] K. Ravindran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Sudhakar M. Reddy,et al. On Delay Fault Testing in Logic Circuits , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[21] Naveed A. Sherwani,et al. Algorithms for VLSI Physical Design Automation , 1999, Springer US.
[22] Kenneth M. Butler,et al. Die-level adaptive test: Real-time test reordering and elimination , 2011, 2011 IEEE International Test Conference.
[23] Eli Chiprout,et al. Path coverage based functional test generation for processor marginality validation , 2010, 2010 IEEE International Test Conference.
[24] Jinjun Xiong,et al. Statistical Path Selection for At-Speed Test , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[25] Toshiyuki Maeda,et al. Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..
[26] Jinjun Xiong,et al. Variation-aware performance verification using at-speed structural test and statistical timing , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[27] Gordon L. Smith,et al. Model for Delay Faults Based upon Paths , 1985, ITC.
[28] Kevin Wu,et al. Using transition test to understand timing behavior of logic circuits on UltraSPARCTM T2 family , 2009, 2009 International Test Conference.
[29] Ieee Circuits,et al. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] Ali Keshavarzi,et al. Parametric failures in CMOS ICs - a defect-based analysis , 2002, Proceedings. International Test Conference.
[31] Rolf Drechsler,et al. MONSOON: SAT-Based ATPG for Path Delay Faults Using Multiple-Valued Logics , 2010, J. Electron. Test..
[32] Sreejit Chakravarty,et al. Path selection for monitoring unexpected systematic timing effects , 2009, 2009 Asia and South Pacific Design Automation Conference.
[33] Magdy S. Abadir,et al. Forward prediction based on wafer sort data — A case study , 2011, 2011 IEEE International Test Conference.
[34] C. N. Berglund,et al. A unified yield model incorporating both defect and parametric effects , 1996 .
[35] Kazumi Hatayama,et al. An Adaptive Test for Parametric Faults Based on Statistical Timing Information , 2009, 2009 Asian Test Symposium.
[36] Spyros Tragoudas,et al. A critical path selection method for delay testing , 2004, 2004 International Conferce on Test.
[37] Kazutoshi Kobayashi,et al. Variation-sensitive monitor circuits for estimation of Die-to-Die process variation , 2011, 2011 IEEE ICMTS International Conference on Microelectronic Test Structures.