Design for manufacturability and reliability in extreme-scaling VLSI

In the last five decades, the number of transistors on a chip has increased exponentially in accordance with the Moore’s law, and the semiconductor industry has followed this law as long-term planning and targeting for research and development. However, as the transistor feature size is further shrunk to sub-14nm nanometer regime, modern integrated circuit (IC) designs are challenged by exacerbated manufacturability and reliability issues. To overcome these grand challenges, full-chip modeling and physical design tools are imperative to achieve high manufacturability and reliability. In this paper, we will discuss some key process technology and VLSI design co-optimization issues in nanometer VLSI.

[1]  Iris Hui-Ru Jiang,et al.  Machine-Learning-Based Hotspot Detection Using Topological Classification and Critical Feature Extraction , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  David Z. Pan,et al.  Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Hongbo Zhang,et al.  DSA template mask determination and cut redistribution for advanced 1D gridded design , 2013, Photomask Technology.

[4]  David Z. Pan,et al.  Machine learning based lithographic hotspot detection with critical-feature extraction and classification , 2009, 2009 IEEE International Conference on IC Design and Technology.

[5]  J. Andres Torres,et al.  Challenges and opportunities in applying grapho-epitaxy DSA lithography to metal cut and contact/via applications , 2014, European Mask and Lithography Conference.

[6]  Chen-Yong Cher,et al.  Understanding soft errors in uncore components , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  H.-S. Philip Wong,et al.  Physical Layout Design of Directed Self-Assembly Guiding Alphabet for IC Contact Hole/via Patterning , 2015, ISPD.

[8]  M. Nelhiebel,et al.  The Paradigm Shift in Understanding the Bias Temperature Instability: From Reaction–Diffusion to Switching Oxide Traps , 2011, IEEE Transactions on Electron Devices.

[9]  Emile Sahouria,et al.  Assessment and comparison of different approaches for mask write time reduction , 2011, Photomask Technology.

[10]  M. Nicolaidis,et al.  Design for soft error mitigation , 2005, IEEE Transactions on Device and Materials Reliability.

[11]  Ting-Chi Wang,et al.  On Refining Row-Based Detailed Placement for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Diederik Verkest,et al.  Maintaining Moore’s law: enabling cost-friendly dimensional scaling , 2015, Advanced Lithography.

[13]  Diederik Verkest,et al.  Standard cell design in N7: EUV vs. immersion , 2015, Advanced Lithography.

[14]  Gordon E. Moore,et al.  Lithography and the future of Moore's law , 2006, Advanced Lithography.

[15]  Yici Cai,et al.  Efficient Process-Hotspot Detection Using Range Pattern Matching , 2006, IEEE/ACM International Conference on Computer-Aided Design.

[16]  David Z. Pan,et al.  Flexible self-aligned double patterning aware detailed routing with prescribed layout planning , 2012, ISPD '12.

[17]  Liyi Xiao,et al.  Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[18]  Iris Hui-Ru Jiang,et al.  WiT: Optimal Wiring Topology for Electromigration Avoidance , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  David Z. Pan,et al.  Self-aligned double patterning friendly configuration for standard cell library considering placement impact , 2013, Advanced Lithography.

[20]  Shao-Yun Fang,et al.  Simultaneous guiding template optimization and redundant via insertion for directed self-assembly , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[21]  Hai Zhou,et al.  Layout decomposition with pairwise coloring for multiple patterning lithography , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[22]  Iris Hui-Ru Jiang,et al.  Accurate process-hotspot detection using critical design rule extraction , 2012, DAC Design Automation Conference 2012.

[23]  Youngsoo Shin,et al.  Defect probability of directed self-assembly lithography: Fast identification and post-placement optimization , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[24]  T. M. Makhviladze,et al.  General model for mechanical stress evolution during electromigration , 1999 .

[25]  Yuelin Du,et al.  Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[26]  Matthew Hogan,et al.  Electromigration analysis of full-chip integrated circuits with hydrostatic stress , 2014, 2014 IEEE International Reliability Physics Symposium.

[27]  Tao Lin,et al.  TPL-Aware Displacement-driven Detailed Placement Refinement with Coloring Constraints , 2015, ISPD.

[28]  Kun Yuan,et al.  Double patterning lithography friendly detailed routing with redundant via consideration , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[29]  David Z. Pan,et al.  Skew Management of NBTI Impacted Gated Clock Trees , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Shao-Yun Fang Cut mask optimization with wire planning in self-aligned multiple patterning full-chip routing , 2015, The 20th Asia and South Pacific Design Automation Conference.

[32]  Kun Yuan,et al.  Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization , 2010, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[33]  Charles C. Chiang,et al.  Accurate detection for process-hotspots with vias and incomplete specification , 2007, ICCAD 2007.

[34]  Yue Xu,et al.  GREMA: Graph reduction based efficient mask assignment for double patterning technology , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[35]  Lars W. Liebmann,et al.  The daunting complexity of scaling to 7NM without EUV: pushing DTCO to the extreme , 2015, Advanced Lithography.

[36]  Amir Rahmati,et al.  Reliable Physical Unclonable Functions Using Data Retention Voltage of SRAM Cells , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[37]  Frank Liu,et al.  Predicting variability in nanoscale lithography processes , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[38]  Yue Xu,et al.  A matching based decomposer for double patterning lithography , 2010, ISPD '10.

[39]  Jingyu Xu,et al.  Accurate detection for process-hotspots with vias and incomplete specification , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[40]  Siegfried Selberherr,et al.  Physically based models of electromigration: From Black's equation to modern TCAD models , 2010, Microelectron. Reliab..

[41]  Malgorzata Marek-Sadowska,et al.  A Method for Improving Power Grid Resilience to Electromigration-Caused via Failures , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[42]  Andrew B. Kahng,et al.  Layout Decomposition Approaches for Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[43]  Ru Huang,et al.  New insights into the design for end-of-life variability of NBTI in scaled high-κ/metal-gate Technology for the nano-reliability era , 2014, 2014 IEEE International Electron Devices Meeting.

[44]  Evangeline F. Y. Young,et al.  Triple patterning lithography aware optimization for standard cell based design , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[45]  Yao-Wen Chang,et al.  Stitch-Aware Routing for Multiple E-Beam Lithography , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[46]  Mehdi Baradaran Tahoori,et al.  Aging-aware logic synthesis , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[47]  Emile Sahouria,et al.  Generalization of shot definition for variable shaped e-beam machines for write time reduction , 2010, Photomask Technology.

[48]  Yao-Wen Chang,et al.  A Novel Layout Decomposition Algorithm for Triple Patterning Lithography , 2014, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[49]  Ru Huang,et al.  Impacts of Random Telegraph Noise (RTN) on Digital Circuits , 2015, IEEE Transactions on Electron Devices.

[50]  Bei Yu,et al.  Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line , 2015, ACM Great Lakes Symposium on VLSI.

[51]  David Z. Pan,et al.  Flexible 2D layout decomposition framework for spacer-type double pattering lithography , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[52]  Yao-Wen Chang,et al.  Metal-Density-Driven Placement for CMP Variation and Routability , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[53]  David Z. Pan,et al.  Methodology for standard cell compliance and detailed placement for triple patterning lithography , 2013, ICCAD 2013.

[54]  Philippe Hurat,et al.  Automated full-chip hotspot detection and removal flow for interconnect layers of cell-based designs , 2007, SPIE Advanced Lithography.

[55]  Malgorzata Marek-Sadowska,et al.  OPC-Free and Minimally Irregular IC Design Style , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[56]  M. Broekaart,et al.  Electron beam direct write lithography flexibility for ASIC manufacturing: an opportunity for cost reduction (Keynote Paper) , 2005, SPIE Advanced Lithography.

[57]  K. Shepard,et al.  Analysis of Random Telegraph Noise in 45-nm CMOS Using On-Chip Characterization System , 2013, IEEE Transactions on Electron Devices.

[58]  Yuelin Du,et al.  Constrained pattern assignment for standard cell based triple patterning lithography , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[59]  J. Andres Torres,et al.  High Performance Lithography Hotspot Detection With Successively Refined Pattern Identifications and Machine Learning , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[60]  David Z. Pan,et al.  Reliability Aware Gate Sizing Combating NBTI and Oxide Breakdown , 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

[61]  Puneet Gupta,et al.  Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/vias , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[62]  Andrew B. Kahng,et al.  Fast dual graph-based hotspot detection , 2006, SPIE Photomask Technology.

[63]  Diederik Verkest,et al.  Impact of a SADP flow on the design and process for N10/N7 metal layers , 2015, Advanced Lithography.

[64]  Evangeline F. Y. Young,et al.  An efficient layout decomposition approach for Triple Patterning Lithography , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[65]  Narayanan Vijaykrishnan,et al.  Mitigating electromigration of power supply networks using bidirectional current stress , 2012, GLSVLSI '12.

[66]  Andrew B. Kahng,et al.  Timing Yield-Aware Color Reassignment and Detailed Placement Perturbation for Bimodal CD Distribution in Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[67]  Zigang Xiao,et al.  A polynomial time triple patterning algorithm for cell based row-structure layout , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[68]  Jiwoo Pak,et al.  Electromigration Study for Multiscale Power/Ground Vias in TSV-Based 3-D ICs , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[69]  Youngsoo Shin,et al.  Lithographic defect aware placement using compact standard Cells without inter-cell margin , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[70]  Yuelin Du,et al.  Triple patterning aware detailed placement with constrained pattern assignment , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[71]  Runsheng Wang,et al.  Towards the systematic study of aging induced dynamic variability in nano-MOSFETs: Adding the missing cycle-to-cycle variation effects into device-to-device variation , 2011, 2011 International Electron Devices Meeting.

[72]  Martin D. F. Wong,et al.  Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology , 2012, DAC Design Automation Conference 2012.

[73]  Joel K. W. Yang,et al.  Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates , 2008, Science.

[74]  Alper Demir,et al.  SAMURAI: An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs , 2011, 2011 Design, Automation & Test in Europe.

[75]  Yuelin Du,et al.  Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[76]  Bei Yu,et al.  A systematic framework for evaluating standard cell middle-of-line (MOL) robustness for multiple patterning , 2015, Advanced Lithography.

[77]  J. O'Rourke,et al.  Stationing guards in rectilinear art galleries , 1984 .

[78]  Chikaaki Kodama,et al.  Self-Aligned Double and Quadruple Patterning-aware grid routing with hotspots control , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[79]  Avideh Zakhor,et al.  A cost-driven fracture heuristics to minimize external sliver length , 2011, Advanced Lithography.

[80]  Yoon-Ha Jeong,et al.  PBTI-Associated High-Temperature Hot Carrier Degradation of nMOSFETs With Metal-Gate/High- $k$ Dielectrics , 2008, IEEE Electron Device Letters.

[81]  Yao-Wen Chang,et al.  A novel layout decomposition algorithm for triple patterning lithography , 2012, DAC Design Automation Conference 2012.

[82]  David Z. Pan,et al.  Design for Manufacturing With Emerging Nanolithography , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[83]  Bogdan Tudor,et al.  MOS Device Aging Analysis with HSPICE and CustomSim , 2011 .

[84]  J. Andres Torres,et al.  Self-aligned double patterning (SADP) layout decomposition , 2011, 2011 12th International Symposium on Quality Electronic Design.

[85]  Andrew B. Kahng,et al.  Yield- and cost-driven fracturing for variable shaped-beam mask writing , 2004, SPIE Photomask Technology.

[86]  Martin D. F. Wong,et al.  On process-aware 1-D standard cell design , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[87]  Jiwoo Pak,et al.  Electromigration-aware redundant via insertion , 2015, The 20th Asia and South Pacific Design Automation Conference.

[88]  Juhwan Kim,et al.  Hotspot detection on post-OPC layout using full-chip simulation-based verification tool: a case study with aerial image simulation , 2003, SPIE Photomask Technology.

[89]  Tim Nieberg,et al.  BonnCell: Automatic layout of leaf cells , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[90]  Andrew B. Kahng,et al.  Fast yield-driven fracture for variable shaped beam mask writing , 2006, Photomask Japan.

[91]  Neal Lafferty,et al.  Directed self-assembly (DSA) grapho-epitaxy template generation with immersion lithography , 2015, Advanced Lithography.

[92]  Yijian Chen,et al.  Mask strategy and layout decomposition for self-aligned quadruple patterning , 2013, Advanced Lithography.

[93]  J. Andres Torres,et al.  Self-aligned double-patterning (SADP) friendly detailed routing , 2011, Advanced Lithography.

[94]  Pedro Reviriego,et al.  Structural DMR: A Technique for Implementation of Soft-Error-Tolerant FIR Filters , 2011, IEEE Transactions on Circuits and Systems II: Express Briefs.

[95]  David Z. Pan,et al.  Double patterning technology friendly detailed routing , 2008, ICCAD 2008.

[96]  Ru Huang,et al.  Deep understanding of AC RTN in MuGFETs through new characterization method and impacts on logic circuits , 2013, 2013 Symposium on VLSI Technology.

[97]  Sachin S. Sapatnekar,et al.  NBTI-Aware Synthesis of Digital Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[98]  Kun Yuan,et al.  A new graph-theoretic, multi-objective layout decomposition framework for Double Patterning Lithography , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[99]  David Z. Pan,et al.  EPIC: Efficient prediction of IC manufacturing hotspots with a unified meta-classification formulation , 2012, 17th Asia and South Pacific Design Automation Conference.

[100]  Yuelin Du,et al.  Optimization of standard cell based detailed placement for 16 nm FinFET process , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[101]  Jayanta Bhadra,et al.  On soft error rate analysis of scaled CMOS designs — A statistical perspective , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[102]  Yao-Wen Chang,et al.  Simultaneous EUV flare- and CMP-aware placement , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[103]  David Z. Pan,et al.  L-shape based layout fracturing for e-beam lithography , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[104]  Tsung-Eong Hsieh,et al.  Stress Migration and Electromigration Improvement for Copper Dual Damascene Interconnection , 2005 .

[105]  Yao-Wen Chang,et al.  Metal-Density-Driven Placement for CMP Variation and Routability , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[106]  Evangeline F. Y. Young,et al.  An effective triple patterning aware grid-based detailed routing approach , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[107]  Diana Marculescu,et al.  Joint logic restructuring and pin reordering against NBTI-induced performance degradation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[108]  David Z. Pan,et al.  CSL: Coordinated and scalable logic synthesis techniques for effective NBTI reduction , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[109]  Ricardo Reis,et al.  Circuit Design for Reliability , 2014 .

[110]  Yih-Lang Li,et al.  TRIAD: A triple patterning lithography aware detailed router , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[111]  David Z. Pan,et al.  Layout decomposition for quadruple patterning lithography and beyond , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[112]  Subhendu Roy Logic and clock network optimization in nanometer VLSI circuits , 2015 .

[113]  Liang Chen,et al.  CLASS: Combined logic and architectural soft error sensitivity analysis , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[114]  Yao-Wen Chang,et al.  Overlay-aware detailed routing for self-aligned double patterning lithography using the cut process , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[115]  Bradley Malin,et al.  Detecting Anomalous Insiders in Collaborative Information Systems , 2012, IEEE Transactions on Dependable and Secure Computing.

[116]  Malgorzata Marek-Sadowska,et al.  Rapid layout pattern classification , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[117]  Avideh Zakhor,et al.  A Cost-Driven Fracture Heuristics to Minimize Sliver Length , 2010 .

[118]  David Z. Pan,et al.  High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[119]  Gordon E. Moore Lithography and the future of Moore's law , 1995, Advanced Lithography.

[120]  Tongquan Wei,et al.  An Interconnect Reliability-Driven Routing Technique for Electromigration Failure Avoidance , 2012, IEEE Transactions on Dependable and Secure Computing.

[121]  David Z. Pan,et al.  A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction , 2015, Advanced Lithography.

[122]  Lars W. Liebmann,et al.  Decomposition-aware standard cell design flows to enable double-patterning technology , 2011, Advanced Lithography.

[123]  Sheldon X.-D. Tan,et al.  Physics-based electromigration assessment for power grid networks , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[124]  Dinesh P. Mehta,et al.  Efficient decomposition of polygons into L-shapes with application to VLSI layouts , 1996, TODE.

[125]  Brian Taylor,et al.  Exact Combinatorial Optimization Methods for Physical Design of Regular Logic Bricks , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[126]  David Z. Pan,et al.  PARR: Pin access planning and regular routing for self-aligned double patterning , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[127]  David Z. Pan,et al.  Directed Self-Assembly Based Cut Mask Optimization for Unidirectional Design , 2015, ACM Great Lakes Symposium on VLSI.

[128]  Yuelin Du,et al.  A polynomial time exact algorithm for self-aligned double patterning layout decomposition , 2012, ISPD '12.

[129]  David Z. Pan,et al.  PARR: pin access planning and regular routing for self-aligned double patterning , 2015, DAC.

[130]  Kewal K. Saluja,et al.  Combating NBTI Degradation via Gate Sizing , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[131]  Chris C. N. Chu,et al.  Detailed routing for Spacer-Is-Metal type Self-Aligned Double/Quadruple Patterning Lithography , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[132]  David Z. Pan,et al.  Stitch aware detailed placement for multiple e-beam lithography , 2016, ASP-DAC.

[133]  David Z. Pan,et al.  Stitch aware detailed placement for multiple e-beam lithography , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[134]  Runsheng Wang,et al.  New Observations on the Hot Carrier and NBTI Reliability of Silicon Nanowire Transistors , 2007, 2007 IEEE International Electron Devices Meeting.

[135]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[136]  Ru Huang,et al.  A unified approach for trap-aware device/circuit co-design in nanoscale CMOS technology , 2013, 2013 IEEE International Electron Devices Meeting.

[137]  Ru Huang,et al.  Adding the missing time-dependent layout dependency into device-circuit-layout co-optimization - New findings on the layout dependent aging effects , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

[138]  Shao-Yun Fang,et al.  Simultaneous Guiding Template Optimization and Redundant Via Insertion for Directed Self-Assembly , 2015, ICCAD 2015.

[139]  Georges G. E. Gielen,et al.  Computer-Aided Analog Circuit Design for Reliability in Nanometer CMOS , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[140]  Yih-Lang Li,et al.  Double patterning lithography aware gridless detailed routing with innovative conflict graph , 2010, Design Automation Conference.

[141]  Mehdi Baradaran Tahoori,et al.  ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

[142]  Wen-Ben Jone,et al.  Soft-Error-Tolerant Design Methodology for Balancing Performance, Power, and Reliability , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[143]  Gordon E. Moore,et al.  Lithography and the future of Moore's law , 1995, Advanced Lithography.

[144]  Ru Huang,et al.  New insights into AC RTN in scaled high-к / metal-gate MOSFETs under digital circuit operations , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[145]  David Z. Pan,et al.  Design for Manufacturability with Advanced Lithography , 2015 .

[146]  Peter-Hans Ter Weer Opportunities for cost reduction by by-passing Security Filtration in alumina production , 2009 .

[147]  Kun Yuan,et al.  E-Beam Lithography Stencil Planning and Optimization With Overlapped Characters , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[148]  B. Kaczer,et al.  A unified perspective of RTN and BTI , 2014, 2014 IEEE International Reliability Physics Symposium.

[149]  Diederik Verkest,et al.  TEASE: A systematic analysis framework for early evaluation of FinFET-based advanced technology nodes , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[150]  Jiwoo Pak,et al.  Electromigration-aware routing for 3D ICs with stress-aware EM modeling , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[151]  Tsung-Yi Ho,et al.  1-D Cell Generation With Printability Enhancement , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[152]  Saman Kiamehr,et al.  Radiation-induced soft error analysis of SRAMs in SOI FinFET technology: A device to circuit approach , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[153]  Thomas H. Epps,et al.  Directed Block Copolymer Thin Film Self-Assembly: Emerging Trends in Nanopattern Fabrication , 2013 .

[154]  Wan-Yu Wen,et al.  A Fuzzy-Matching Model With Grid Reduction for Lithography Hotspot Detection , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[155]  David Z. Pan,et al.  Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[156]  Sachin S. Sapatnekar,et al.  A systematic approach for analyzing and optimizing cell-internal signal electromigration , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[157]  Jens Lienig,et al.  Electromigration and its impact on physical design in future technologies , 2013, ISPD '13.

[158]  Nikolai Ryzhenko,et al.  Physical synthesis onto a layout fabric with regular diffusion and polysilicon geometries , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[159]  Shiyan Hu,et al.  Pattern sensitive placement for manufacturability , 2007, ISPD '07.

[160]  Bei Yu,et al.  Accurate lithography hotspot detection based on principal component analysis-support vector machine classifier with hierarchical data clustering , 2014 .

[161]  Zigang Xiao,et al.  An efficient linear time triple patterning solver , 2015, The 20th Asia and South Pacific Design Automation Conference.

[162]  Yuelin Du,et al.  Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[163]  Hongbo Zhang,et al.  Characterization and decomposition of self-aligned quadruple patterning friendly layout , 2012, Advanced Lithography.

[164]  H.-S. Philip Wong,et al.  Contact-hole patterning for random logic circuits using block copolymer directed self-assembly , 2012, Advanced Lithography.

[165]  Jae-Seok Yang,et al.  Overlay aware interconnect and timing variation modeling for double patterning technology , 2008, ICCAD 2008.

[166]  David Z. Pan,et al.  Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line Conflict , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[167]  T. Grasser Bias Temperature Instability for Devices and Circuits , 2014 .

[168]  Minsik Cho,et al.  Optimal layout decomposition for double patterning technology , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[169]  Yuelin Du,et al.  Self-aligned double patterning decomposition for overlay minimization and hot spot detection , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[170]  Yao-Wen Chang,et al.  Non-stitch triple patterning-aware routing based on conflict graph pre-coloring , 2015, The 20th Asia and South Pacific Design Automation Conference.

[171]  Hanming Wu,et al.  New observations on AC NBTI induced dynamic variability in scaled high-κ/Metal-gate MOSFETs: Characterization, origin of frequency dependence, and impacts on circuits , 2012, 2012 International Electron Devices Meeting.

[172]  Sachin S. Sapatnekar,et al.  Scalable methods for the analysis and optimization of gate oxide breakdown , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[173]  Yih-Lang Li,et al.  Doppler: DPL-aware and OPC-friendly gridless detailed routing with mask density balancing , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[174]  C.H. Kim,et al.  An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[175]  Ting-Chi Wang,et al.  A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography , 2015, ISPD.

[176]  Anton van Oosten,et al.  Pattern split rules! A feasibility study of rule based pitch decomposition for double patterning , 2007, SPIE Photomask Technology.

[177]  Iris Hui-Ru Jiang,et al.  Machine-learning-based hotspot detection using topological classification and critical feature extraction , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).