Interplay Between Statistical Variability and Reliability in Contemporary pMOSFETs: Measurements Versus Simulations

This paper presents an extensive study of the interplay between as-fabricated (time-zero) variability and gate oxide reliability (time-dependent variability) in contemporary pMOSFETs. We compare physical simulation results using the atomistic simulator GARAND with experimental measurements. The TCAD simulations are accurately calibrated to reproduce the average transistor behavior. When random discrete dopants, line edge roughness, and gate polysilicon granularity are considered, the simulations accurately reproduce time-zero (as-fabricated) statistical variability, as well as time-dependent variability data, represented by threshold voltage shift distributions. The calibrated simulations are then used to predict the reliability behavior at different bias conditions and for different device dimensions.

[1]  M. J. Kirton,et al.  Noise in solid-state microstructures: A new perspective on individual defects, interface states and low-frequency (1/ƒ) noise , 1989 .

[2]  Trudo Clarysse,et al.  Status and review of two-dimensional carrier and dopant profiling using scanning probe microscopy , 2000 .

[3]  Statistical 3D simulation of line edge roughness in decanano MOSFETs , 2001 .

[4]  Andrew R. Brown,et al.  Analysis of Statistical Fluctuations due to Line Edge Roughness in sub-0.1μm MOSFETs , 2001 .

[5]  E. Amirante,et al.  Quantum and semiclassical modeling of the threshold voltage dispersion due to random dopants in deep submicron MOSFETs , 2002, Proceedings of the 2nd IEEE Conference on Nanotechnology.

[6]  Andrew R. Brown,et al.  RTS amplitudes in decananometer MOSFETs: 3-D simulation study , 2003 .

[7]  Andrew R. Brown,et al.  Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .

[8]  Muhammad Ashraful Alam,et al.  A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..

[9]  K. Takeuchi,et al.  Single-charge-based modeling of transistor characteristics fluctuations based on statistical measurement of RTN amplitude , 2006, 2009 Symposium on VLSI Technology.

[10]  Sachin S. Sapatnekar,et al.  Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[11]  K. Sonoda,et al.  Discrete Dopant Effects on Statistical Variation of Random Telegraph Signal Magnitude , 2007, IEEE Transactions on Electron Devices.

[12]  A. Khakifirooz,et al.  MOSFET performance scaling: Limitations and future options , 2008, 2008 IEEE International Electron Devices Meeting.

[13]  A. Visconti,et al.  Comprehensive Analysis of Random Telegraph Noise Instability and Its Scaling in Deca–Nanometer Flash Memories , 2009, IEEE Transactions on Electron Devices.

[14]  J.P. Campbell,et al.  Random telegraph noise in highly scaled nMOSFETs , 2009, 2009 IEEE International Reliability Physics Symposium.

[15]  A. Asenov,et al.  Understanding LER-induced statistical variability: A 35,000 sample 3D simulation study , 2009, 2009 Proceedings of the European Solid State Device Research Conference.

[16]  T. Grasser,et al.  Statistics of Multiple Trapped Charges in the Gate Oxide of Deeply Scaled MOSFET Devices—Application to NBTI , 2010, IEEE Electron Device Letters.

[17]  T. Grasser,et al.  The time dependent defect spectroscopy (TDDS) for the characterization of the bias temperature instability , 2010, 2010 IEEE International Reliability Physics Symposium.

[18]  D Reid,et al.  Understanding LER-Induced MOSFET $V_{T}$ Variability—Part I: Three-Dimensional Simulation of Large Statistical Samples , 2010, IEEE Transactions on Electron Devices.

[19]  K. Takeuchi,et al.  Statistical characterization of trap position, energy, amplitude and time constants by RTN measurement of multiple individual traps , 2010, 2010 International Electron Devices Meeting.

[20]  T. Grasser,et al.  The statistical analysis of individual defects constituting NBTI and its implications for modeling DC- and AC-stress , 2010, 2010 IEEE International Reliability Physics Symposium.

[21]  R. Degraeve,et al.  Origin of NBTI variability in deeply scaled pFETs , 2010, 2010 IEEE International Reliability Physics Symposium.

[22]  T. Hook,et al.  Channel Length and Threshold Voltage Dependence of Transistor Mismatch in a 32-nm HKMG Technology , 2010, IEEE Transactions on Electron Devices.

[23]  Frank Schwierz,et al.  A new model of trap assisted band-to-band tunnelling , 2010, The Eighth International Conference on Advanced Semiconductor Devices and Microsystems.

[24]  M. D. Giles,et al.  Process Technology Variation , 2011, IEEE Transactions on Electron Devices.

[25]  A. Asenov,et al.  Comparative Simulation Study of the Different Sources of Statistical Variability in Contemporary Floating-Gate Nonvolatile Memory , 2011, IEEE Transactions on Electron Devices.

[26]  Kaushik Roy,et al.  Reliability- and Process-variation aware design of integrated circuits — A broader perspective , 2011, IRPS 2011.

[27]  Mark Bohr,et al.  The evolution of scaling from the homogeneous era to the heterogeneous era , 2011, 2011 International Electron Devices Meeting.

[28]  Andrew R. Brown,et al.  Impact of NBTI/PBTI on SRAM Stability Degradation , 2011, IEEE Electron Device Letters.

[29]  G. Groeseneken,et al.  From mean values to distributions of BTI lifetime of deeply scaled FETs through atomistic understanding of the degradation , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[30]  M. Nelhiebel,et al.  The Paradigm Shift in Understanding the Bias Temperature Instability: From Reaction–Diffusion to Switching Oxide Traps , 2011, IEEE Transactions on Electron Devices.

[31]  K. Roy,et al.  A Three-Dimensional Physical Model for $V_{\rm th}$ Variations Considering the Combined Effect of NBTI and RDF , 2011, IEEE Transactions on Electron Devices.

[32]  Muhammad Ashraful Alam,et al.  Reliability- and Process-variation aware design of integrated circuits — A broader perspective , 2008, 2011 International Reliability Physics Symposium.

[33]  C. Auth,et al.  A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[34]  A. Asenov,et al.  The relevance of deeply-scaled FET threshold voltage shifts for operation lifetimes , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[35]  Andrew R. Brown,et al.  Simulation of 3D FinFET doping profiles by ion implantation , 2012 .

[36]  R. Degraeve,et al.  Correlation of single trapping and detrapping effects in drain and gate currents of nanoscaled nFETs and pFETs , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[37]  A. Asenov,et al.  3D dynamic RTN simulation of a 25nm MOSFET: The importance of variability in reliability evaluation of decananometer devices , 2012, 2012 15th International Workshop on Computational Electronics.

[38]  N. Horiguchi,et al.  Impact of single charged gate oxide defects on the performance and scaling of nanoscaled FETs , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[39]  Thomas Bergauer,et al.  Measuring doping profiles of silicon detectors with a custom-designed probe station , 2012 .

[40]  H. Fukutome,et al.  Comprehensive extensibility of 20nm low power/high performance technology platform featuring scalable high-k/metal gate planar transistors with reduced design corner , 2012, 2012 International Electron Devices Meeting.

[41]  Asen Asenov,et al.  RTN and BTI in nanoscale MOSFETs: A comprehensive statistical simulation study , 2013 .

[42]  Andrew R. Brown,et al.  Analytical Models for Three-Dimensional Ion Implantation Profiles in FinFETs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[43]  T. Grasser,et al.  Reduction of the BTI time-dependent variability in nanoscaled MOSFETs by body bias , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[44]  A. Asenov,et al.  Accuracy and Issues of the Spectroscopic Analysis of RTN Traps in Nanoscale MOSFETs , 2013, IEEE Transactions on Electron Devices.

[45]  A. Asenov,et al.  Statistical Interactions of Multiple Oxide Traps Under BTI Stress of Nanoscale MOSFETs , 2013, IEEE Electron Device Letters.

[46]  A. Asenov,et al.  Impact of statistical variability and 3D electrostatics on post-cycling anomalous charge loss in nanoscale Flash memories , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[47]  B. Kaczer,et al.  Degradation of time dependent variability due to interface state generation , 2013, 2013 Symposium on VLSI Technology.

[48]  B. Cheng,et al.  Interplay between statistical reliability and variability: A comprehensive transistor-to-circuit simulation technology , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[49]  B. Kaczer,et al.  A unified perspective of RTN and BTI , 2014, 2014 IEEE International Reliability Physics Symposium.