The Role of Back-Pressure in Implementing Latency-Insensitive Systems

Back-pressure is a logical mechanism to control the flow of information on a communication channel of a latency-insensitive system (LIS) while guaranteeing that no packet is lost. Back-pressure is necessary for building open LISs and it represents an interesting design alternative also for closed LISs because it makes possible to realize highly modular implementations with more predictable features in terms of design overhead (area, power). In discussing the role of back-pressure, we revisit the logic of the necessary building blocks, and explain the impact of the system topology on the system performance.

[1]  Sandeep K. Shukla,et al.  Polychrony for formal refinement-checking in a system-level design methodology , 2003, Third International Conference on Application of Concurrency to System Design, 2003. Proceedings..

[2]  Ted Williams Latency and throughput tradeoffs in self-timed speed-independent pipelines and rings , 1990 .

[3]  Steven M. Nowick,et al.  Robust interfaces for mixed-timing systems with application to latency-insensitive protocols , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[4]  Luis Gomes,et al.  Hardware Design and Petri Nets , 2000 .

[5]  Paul Le Guernic,et al.  An algebraic theory for behavioral modeling and protocol synthesis in system design , 2006, Formal Methods Syst. Des..

[6]  Amir Pnueli,et al.  Marked Directed Graphs , 1971, J. Comput. Syst. Sci..

[7]  Jean-Christophe Le Lann,et al.  POLYCHRONY for System Design , 2003, J. Circuits Syst. Comput..

[8]  M. Mizuno,et al.  Elastic interconnects: repeater-inserted long wiring capable of compressing and decompressing data , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[9]  Federico Angiolini,et al.  /spl times/pipes Lite: a synthesis oriented design library for networks on chips , 2005, Design, Automation and Test in Europe.

[10]  Luca P. Carloni,et al.  Latency-insensitive design , 2004 .

[11]  R. Karp,et al.  Properties of a model for parallel computations: determinacy , 1966 .

[12]  M. Borgatti,et al.  A multi-context 6.4Gb/s/channel on-chip communication network using 0.18/spl mu/m Flash-EEPROM switches and elastic interconnects , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[13]  Alberto L. Sangiovanni-Vincentelli,et al.  Latency Insensitive Protocols , 1999, CAV.

[14]  Rajesh K. Gupta,et al.  Faster maximum and minimum mean cycle algorithms for system-performance analysis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Jan Magott,et al.  Performance Evaluation of Concurrent Systems Using Petri Nets , 1984, Inf. Process. Lett..

[16]  Jan Magott Performance Evaluation of Concurrent Systems Using Conflict-Free and Persistent Petri Nets , 1987, Inf. Process. Lett..

[17]  James B. Orlin,et al.  Finding minimum cost to time ratio cycles with small integral transit times , 1993, Networks.

[18]  Alberto L. Sangiovanni-Vincentelli,et al.  A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[19]  Mario R. Casu,et al.  Issues in implementing latency insensitive protocols , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[20]  Raymond Reiter,et al.  Scheduling Parallel Computations , 1968, J. ACM.

[21]  E.A. Lee,et al.  Synchronous data flow , 1987, Proceedings of the IEEE.

[22]  Soha Hassoun,et al.  Optimal path routing in single- and multiple-clock domain systems , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Stephen A. Edwards,et al.  The Synchronous Languages Twelve Years Later , 1997 .

[24]  C. V. Ramamoorthy,et al.  Performance Evaluation of Asynchronous Concurrent Systems Using Petri Nets , 1980, IEEE Transactions on Software Engineering.

[25]  Charles E. Leiserson,et al.  Retiming synchronous circuitry , 1988, Algorithmica.

[26]  Steven M. Nowick,et al.  Robust interfaces for mixed-timing systems , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Kenneth Steiglitz,et al.  Bubbles can make self-timed pipelines fast , 1990, J. VLSI Signal Process..

[28]  Lothar Thiele,et al.  On the analysis and optimization of selftimed processor arrays , 1991, Integr..

[29]  Robert K. Brayton,et al.  Computing the initial states of retimed circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  Michael Kishinevsky,et al.  Performance Analysis Based on Timing Simulation , 1994, 31st Design Automation Conference.

[31]  Stephen A. Edwards,et al.  The synchronous languages 12 years later , 2003, Proc. IEEE.

[32]  S. Kataoka,et al.  On some LP problems for performance evaluation of timed marked graphs , 1994, IEEE Trans. Autom. Control..

[33]  Alberto L. Sangiovanni-Vincentelli,et al.  Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[34]  Richard M. Karp,et al.  A characterization of the minimum cycle mean in a digraph , 1978, Discret. Math..

[35]  James H. Lambert,et al.  A Methodology for , 2000 .

[36]  Pradip Bose,et al.  Synchronous interlocked pipelines , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

[37]  Marios C. Papaefthymiou Understanding retiming through maximum average-weight cycles , 1991, SPAA '91.

[38]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[39]  L. Benini,et al.  Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.

[40]  C. Ramchandani,et al.  Analysis of asynchronous concurrent systems by timed petri nets , 1974 .

[41]  Noel Menezes,et al.  Repeater scaling and its impact on CAD , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[42]  Steven Burns Performance Analysis and Optimization of Asynchronous Circuits , 1991 .

[43]  Montek Singh,et al.  Generalized latency-insensitive systems for single-clock and multi-clock architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[44]  Jack B. Dennis,et al.  First version of a data flow procedure language , 1974, Symposium on Programming.

[45]  Mario R. Casu,et al.  A new approach to latency insensitive design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[46]  Paul Losleben,et al.  Advanced Research in VLSI , 1987 .

[47]  Alberto L. Sangiovanni-Vincentelli,et al.  Performance analysis and optimization of latency insensitive systems , 2000, Proceedings 37th Design Automation Conference.

[48]  Jeremy Gunawardena,et al.  Causal automata , 1992, Theor. Comput. Sci..

[49]  Soha Hassoun,et al.  Optimal buffered routing path constructions for single and multiple clock domain systems , 2002, ICCAD 2002.

[50]  Alan Bundy,et al.  Constructing Induction Rules for Deductive Synthesis Proofs , 2006, CLASE.

[51]  Alberto L. Sangiovanni-Vincentelli,et al.  Coping with Latency in SOC Design , 2002, IEEE Micro.

[52]  Ganesh Gopalakrishnan,et al.  Performance analysis and optimization of asynchronous circuits , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.