A novel approach to reduce packet latency increase caused by power gating in network-on-chip

The power gating technique is an effective way to reduce the high static power consumption in a Network-on-Chip (NoC). However, with notable wakeup delay, the power gating technique incurs significant packet latency increase. In this paper, we propose a novel Duty Buffer (DB) structure and an efficient DB-based power gating scheme to overcome this drawback. By keeping minimal number of DB active to replace any sleeping virtual channel in a router, our approach can efficiently reduce the packet latency increase along the whole routing path. Compared with a conventional five-stage pipeline router without power gating, our approach, with only one flit depth of the DB, increases the average packet latency by only 9.67%, which is much less than 57% and 21.75% latency increase in related approaches. With small hardware overhead, our approach can save on average 52.19% of the total power consumption in a NoC, which is comparable with 59.39% and 57.05% power savings in related approaches.

[1]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[2]  Chen Sun,et al.  DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[3]  Chita R. Das,et al.  Application-aware prioritization mechanisms for on-chip networks , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[4]  Mary Jane Irwin,et al.  Core vs. uncore: The heart of darkness , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  Nan Jiang,et al.  A detailed and flexible cycle-accurate Network-on-Chip simulator , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[6]  John Kim,et al.  FlexiBuffer: Reducing leakage power in on-chip network routers , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Yuan Xie,et al.  DimNoC: A dim silicon approach towards power-efficient on-chip network , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Mario Badr,et al.  SynFull: Synthetic traffic models capturing cache coherent behaviour , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[9]  Yuan Yao,et al.  DVFS for NoCs in CMPs: A thread voting approach , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[10]  Janak H. Patel,et al.  A low-overhead coherence solution for multiprocessors with private cache memories , 1998, ISCA '98.

[11]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[12]  Anantha Chandrakasan,et al.  SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[13]  Massoud Pedram,et al.  Power punch: Towards non-blocking power-gating of NoC routers , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[14]  Hideharu Amano,et al.  Run-time power gating of on-chip routers using look-ahead routing , 2008, 2008 Asia and South Pacific Design Automation Conference.

[15]  Sudhir K. Satpathy,et al.  Catnap: energy proportional multiple network-on-chip , 2013, ISCA.

[16]  Coniferous softwood GENERAL TERMS , 2003 .