Maximizing the Inner Resilience of a Network-on-Chip through Router Controllers Design †

Reducing component size and increasing the operating frequency of integrated circuits makes the Systems-on-Chip (SoCs) more susceptible to faults. Faults can cause errors, and errors can be propagated and lead to a system failure. SoCs employing many cores rely on a Network-on-Chip (NoC) as the interconnect architecture. In this context, this study explores alternatives to implement the flow regulation, routing, and arbitration controllers of an NoC router aiming at minimizing error propagation. For this purpose, a router with Finite-State Machine (FSM)-based controllers was developed targeting low use of logical resources and design flexibility for implementation in FPGA devices. We elaborated and compared the synthesis and simulation results of architectures that vary their controllers on Moore and Mealy FSMs, as well as the Triple Modular Redundancy (TMR) hardening application. Experimental results showed that the routing controller was the most critical one and that migrating a Moore to a Mealy controller offered a lower error propagation rate and higher performance than the application of TMR. We intended to use the proposed router architecture to integrate cores in a fault-tolerant NoC-based system for data processing in harsh environments, such as in space applications.

[1]  Akram Ben Ahmed,et al.  FTTDOR: Microring Fault-resilient Optical Router for Reliable Optical Network-on-Chip Systems , 2015, 2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip.

[2]  Lu Wang,et al.  A High Performance Reliable NoC Router , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[3]  Raoul Velazco,et al.  A Survey on Fault Injection Techniques , 2004, Int. Arab J. Inf. Technol..

[4]  Radu Marculescu,et al.  FARM: Fault-aware resource management in NoC-based multiprocessor platforms , 2011, 2011 Design, Automation & Test in Europe.

[5]  Gerard J. M. Smit,et al.  Multicore soc for on-board payload signal processing , 2011, 2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).

[6]  Martin Radetzki,et al.  Fault Tolerant Network on Chip Switching With Graceful Performance Degradation , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Eduardo Augusto Bezerra,et al.  Mechanisms to Provide Fault Tolerance to a Network-on-Chip , 2017 .

[8]  Muhammad Shafique,et al.  SuperNet: Multimode interconnect architecture for manycore chips , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  Chita R. Das,et al.  Exploring Fault-Tolerant Network-on-Chip Architectures , 2006, International Conference on Dependable Systems and Networks (DSN'06).

[10]  Cristian Constantinescu,et al.  Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.

[11]  Terrence S. T. Mak,et al.  Towards reliability and performance-aware Wireless Network-on-Chip design , 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

[12]  Luca Benini,et al.  Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.

[13]  Sorin Cotofana,et al.  Towards Maximum Utilization of Remained Bandwidth in Defected NoC Links , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Hideharu Amano,et al.  A Lightweight Fault-Tolerant Mechanism for Network-on-Chip , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[15]  Altamiro Amadeu Susin,et al.  SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

[16]  Wolfgang Rosenstiel,et al.  Fully Adaptive Fault-Tolerant Routing Algorithm for Network-on-Chip Architectures , 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).

[17]  Alexandre M. Amory,et al.  A High-Fault-Coverage Approach for the Test of Data, Control and Handshake Interconnects in Mesh Networks-on-Chip , 2008, IEEE Transactions on Computers.

[18]  Alain Greiner,et al.  A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[19]  Luigi Dilillo,et al.  Analyzing the Error Propagation in a Parameterizable Network-on-Chip Router , 2019, 2019 IEEE Latin American Test Symposium (LATS).

[20]  Yu Hen Hu,et al.  A Fault-Tolerant NoC Scheme using bidirectional channel , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[21]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[22]  Alexandre M. Amory,et al.  Topology-agnostic fault-tolerant NoC routing method , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[23]  Suleyman Tosun,et al.  Fault-Tolerant Topology Generation Method for Application-Specific Network-on-Chips , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Axel Jantsch,et al.  Addressing Transient and Permanent Faults in NoC With Efficient Fault-Tolerant Deflection Router , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  Alberto Scionti,et al.  Towards a Scalable Software Defined Network-on-Chip for Next Generation Cloud , 2018, Sensors.

[26]  Carl E. Landwehr,et al.  Basic concepts and taxonomy of dependable and secure computing , 2004, IEEE Transactions on Dependable and Secure Computing.

[27]  Nader Bagherzadeh,et al.  Analytical Fault Tolerance Assessment and Metrics for TSV-Based 3D Network-on-Chip , 2015, IEEE Transactions on Computers.

[28]  Camel Tanougast,et al.  A new deadlock-free fault-tolerant routing algorithm for NoC interconnections , 2009, 2009 International Conference on Field Programmable Logic and Applications.

[29]  Natalie D. Enright Jerger,et al.  On-Chip Networks , 2009, On-Chip Networks.

[30]  Hannu Tenhunen,et al.  Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[31]  Petru Eles,et al.  Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[32]  Fabian Vargas,et al.  Processor core profiling for SEU effect analysis , 2018, 2018 IEEE 19th Latin-American Test Symposium (LATS).

[33]  Alexandre M. Amory,et al.  An integrated method for implementing online fault detection in NoC-based MPSoCs , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

[34]  Axel Jantsch,et al.  Networks on chip , 2003 .

[35]  Luca Benini,et al.  Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[36]  Sudeep Pasricha,et al.  A low overhead fault tolerant routing scheme for 3D Networks-on-Chip , 2011, 2011 12th International Symposium on Quality Electronic Design.

[37]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[38]  Jim Harkin,et al.  Fault-Tolerant Networks-on-Chip Routing With Coarse and Fine-Grained Look-Ahead , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[39]  Chrysostomos Nicopoulos,et al.  NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[40]  Alain Greiner,et al.  A Scalable Architecture for System-on-Chip Interconnections , 1999 .

[41]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[42]  Luca Benini,et al.  The Data-Link Layer in NoC Design , 2006 .

[43]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[44]  Sanghamitra Roy,et al.  Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[45]  Luigi Carro,et al.  Dependable Network-on-Chip Router Able to Simultaneously Tolerate Soft Errors and Crosstalk , 2006, 2006 IEEE International Test Conference.

[46]  Axel Jantsch,et al.  A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

[47]  Axel Jantsch,et al.  Methods for fault tolerance in networks-on-chip , 2013, CSUR.

[48]  Luca Benini,et al.  A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[49]  Nanning Zheng,et al.  A Deadlock-Free and Connectivity-Guaranteed Methodology for Achieving Fault-Tolerance in On-Chip Networks , 2016, IEEE Transactions on Computers.

[50]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[51]  Alexandre M. Amory,et al.  Phoenix NoC: A distributed fault tolerant architecture , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[52]  Daniel J. Sorin,et al.  Fault Tolerant Computer Architecture , 2009, Fault Tolerant Computer Architecture.

[53]  Vijay Laxmi,et al.  d2-LBDR: Distance-driven routing to handle permanent failures in 2D mesh NoCs , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[54]  Jari Nurmi,et al.  NoC Interface for fault-tolerant Message-Passing communication on Multiprocessor SoC platform , 2009, 2009 NORCHIP.

[55]  Partha Pratim Pande,et al.  On-line fault detection and location for NoC interconnects , 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

[56]  Mariagiovanna Sami,et al.  Fault-Tolerant Network Interfaces for Networks-on-Chip , 2014, IEEE Transactions on Dependable and Secure Computing.

[57]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[58]  Ching-Te Chiu,et al.  On the design and analysis of fault tolerant NoC architecture using spare routers , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[59]  Onur Derin,et al.  Online task remapping strategies for fault-tolerant Network-on-Chip multiprocessors , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[60]  Masoud Daneshtalab,et al.  Fault-tolerant routing algorithm for 3D NoC using hamiltonian path strategy , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).