Statistical full-chip dynamic power estimation considering spatial correlations

Estimating the dynamic powers is crucial for power and energy efficient chip designs. With increasing variability from manufacture processes, dynamic powers can manifest significant variations due to uncertainties in device geometry and delay variations. In this paper, we propose a new statistical dynamic power estimation method considering the spatial correlation in process variation. We first show that channel length variation have significant impacts on the dynamic power of a gate. To consider the spatial correlation of channel length variation, we adopt a newly proposed spatial correlation model where a new set of uncorrelated variables are defined over virtual grids to represent the original physical random variables by least-square fitting. To compute the statistical dynamic power of a gate on the new set of variables, the new method applies the orthogonal polynomials based method. We use the segment-based statistical power method to consider impacts of the glitch variations on dynamic powers. The orthogonal polynomial of a statistical gate power is computed based on switching segment probabilities. The total full chip dynamic power expressions are then computed by summing up resulting orthogonal polynomials (their coefficients). Experimental results show that the proposed method has about 53X speedup over recently proposed statistical dynamic power analysis method and many orders of magnitudes over the Monte Carlo method.

[1]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[2]  Chi-Ying Tsui,et al.  Gate-level power estimation using tagged probabilistic simulation , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Farid N. Najm,et al.  Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[4]  Navakanta Bhat,et al.  Process Variability-Aware Statistical Hybrid Modeling of Dynamic Power Dissipation in 65 nm CMOS Designs , 2007, 2007 International Conference on Computing: Theory and Applications (ICCTA'07).

[5]  Sachin S. Sapatnekar,et al.  Power estimation considering statistical IC parametric variations , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

[6]  Martin D. F. Wong,et al.  Dynamic power estimation for deep submicron circuits with process variation , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[7]  Sheldon X.-D. Tan,et al.  Statistical modeling and analysis of chip-level leakage power by spectral stochastic method , 2009, 2009 Asia and South Pacific Design Automation Conference.

[8]  R. Ghanem,et al.  Stochastic Finite Elements: A Spectral Approach , 1990 .

[9]  K. Ritter,et al.  Simple Cubature Formulas with High Polynomial Exactness , 1999 .

[10]  Jarrod A. Roy,et al.  Min-cut floorplacement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Kaushik Roy,et al.  Power Estimation Under Uncertain Delays , 1998, Integr. Comput. Aided Eng..

[12]  Zuochang Ye,et al.  An efficient algorithm for modeling spatially-correlated process variation in statistical full-chip leakage analysis , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[13]  Vishwani D. Agrawal,et al.  Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[14]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational and sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[15]  Vishwani D. Agrawal,et al.  Enhanced dual-transition probabilistic power estimation with selective supergate analysis , 2005, 2005 International Conference on Computer Design.

[16]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[17]  Ibrahim N. Hajj,et al.  Probabilistic simulation for reliability analysis of CMOS VLSI circuits , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  J. Torrellas,et al.  VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects , 2007 .

[19]  Massoud Pedram,et al.  Efficient estimation of dynamic power consumption under a real delay model , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[20]  D. Boning,et al.  Characterization and modeling of oxide chemical-mechanical polishing using planarization length and pattern density concepts , 2002 .

[21]  Jinjun Xiong,et al.  Static timing: Back to our roots , 2008, 2008 Asia and South Pacific Design Automation Conference.

[22]  Ping Yang,et al.  A Monte Carlo approach for power estimation , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[23]  Jinjun Xiong,et al.  Robust Extraction of Spatial Correlation , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..