RITUAL: a performance driven placement algorithm

An algorithm for obtaining a placement of large scale cell-based ICs subject to performance constraints is described. The problem is formulated as a constrained programming problem and is solved in two phases: continuous and discrete. Constraints are placed on total path delays including cell and interconnect delays, and the behavior of all the paths is captured. Mathematical techniques and heuristics based on Lagrangian relaxation are used to find an approximate solution to the constrained problem. The algorithm yields good results, as shown on a set of real examples. On the average, between 8% and 30% improvement in the interconnect delay of these examples is obtained with little or no impact on chip area after routing by modifying the placement alone. >

[1]  Yoichi Shiraishi,et al.  Efficient Placement Algorithms Optimizing Delay for High-Speed ECL Masterslice LSI's , 1986, 23rd ACM/IEEE Design Automation Conference.

[2]  Chung-Kuan Cheng,et al.  Module Placement Based on Resistive Network Optimization , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Marshall L. Fisher,et al.  An Applications Oriented Guide to Lagrangian Relaxation , 1985 .

[5]  Ernest S. Kuh,et al.  An Algorithm for Performance-Driven Placement of Cell-Based ICs , 1991 .

[6]  I. Lin,et al.  Performance-driven constructive placement , 1990, 27th ACM/IEEE Design Automation Conference.

[7]  Godwin C. Ovuworie,et al.  Mathematical Programming: Structures and Algorithms , 1979 .

[8]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[9]  Habib Youssef,et al.  Critical path issue in VLSI design , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[10]  E.S. Kuh,et al.  PROUD: a sea-of-gates placement algorithm , 1988, IEEE Design & Test of Computers.

[11]  Carl Sechen,et al.  IMPROVED SIMULATED ANNEALING ALGORIHM FOR ROW-BASED PLACEMENT. , 1987 .

[12]  W. D. Northup,et al.  USING DUALITY TO SOLVE DISCRETE OPTIMIZATION PROBLEMS: THEORY AND COMPUTATIONAL EXPERIENCE* , 1975 .

[13]  Massoud Pedram,et al.  I/O pad assignment based on the circuit structure , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[14]  Somchai Prasitjutrakul,et al.  Path-Delay Constrained Floorplanning: A Mathematical Programming Approach for Initial Placement , 1989, 26th ACM/IEEE Design Automation Conference.

[15]  A. Barrett Network Flows and Monotropic Optimization. , 1984 .

[16]  Malgorzata Marek-Sadowska,et al.  Timing driven placement , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[17]  Michael Burstein,et al.  Timing Influenced Layout Design , 1985, 22nd ACM/IEEE Design Automation Conference.

[18]  Kenneth M. Hall An r-Dimensional Quadratic Placement Algorithm , 1970 .

[19]  Scott Kirkpatrick,et al.  Global Wiring by Simulated Annealing , 1983, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Eugene Shragowitz,et al.  An adaptive timing-driven layout for high speed VLSI , 1991, DAC '90.

[21]  Arvind Srinivasan Performance optimization of large-scale integrated circuits , 1992 .

[22]  Philip Wolfe,et al.  Validation of subgradient optimization , 1974, Math. Program..

[23]  Robert B. Hitchcock,et al.  Timing Analysis of Computer Hardware , 1982, IBM J. Res. Dev..

[24]  Richard M. Karp,et al.  The Traveling-Salesman Problem and Minimum Spanning Trees , 1970, Oper. Res..

[25]  Yoichi Shiraishi,et al.  Efficient Placement Algorithms Optimizing Delay for High-Speed ECL Masterslice LSI's , 1986, DAC 1986.

[26]  Vishwani D. Agrawal,et al.  Chip Layout Optimization Using Critical Path Weighting , 1984, 21st Design Automation Conference Proceedings.

[27]  M. Heath Sparse matrix computations , 1984, The 23rd IEEE Conference on Decision and Control.

[28]  Joseph B. Mazzola,et al.  Resource-Constrained Assignment Scheduling , 1986, Oper. Res..

[29]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[30]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[31]  Youssef A. El-Mansy,et al.  MOS Technology Advances , 1988 .

[32]  Gene H. Golub,et al.  Matrix computations , 1983 .

[33]  John F. Wakerly,et al.  Digital design - principles and practices , 1990, Prentice Hall Series in computer engineering.

[34]  Ravi Nair,et al.  Generation of performance constraints for layout , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..