A Unified Approach for Full Chip Statistical Timing and Leakage Analysis of Nanoscale Circuits Considering Intradie Process Variations

In this paper, we present a unified approach for the statistical timing and leakage analysis of circuits in the presence of intradie variations. The intradie variations in device parameters are modeled as a spatial stochastic process with a given covariance function. The covariance function is used to construct a Karhunen-Loeve expansion of the spatial process. This leads to representing the various parameters of all components on the chip in terms of a common set of abstract random variables. The leakage and propagation delay of each gate are represented as quadratic polynomials (QPs), which are elements of a vector space whose bases are multivariate quadratic orthogonal polynomials of the device parameters. In the case of signal arrival times, we describe an efficient method to propagate the QPs through the circuit to obtain a QP representation of the signal arrival times at the primary outputs. The analysis is extended to include sequential components so that flip-flop parameters and clock arrival times can be treated as random variables. This allows efficient estimation of the timing yield of the circuit. We show how a similar representation of QP can be used to model leakage of gates and develop an efficient method to compute a QP representation of the total chip leakage. The proposed techniques and quadratic models were exercised on ISCAS89 benchmark circuits and compared with Monte Carlo (MC) simulations. The results show that the techniques are very accurate and several orders of magnitude faster than MC simulation.

[1]  I. Miller Probability, Random Variables, and Stochastic Processes , 1966 .

[2]  Sarvesh Bhardwaj,et al.  A Fast and Accurate approach for Full Chip Leakage Analysis of Nano-scale circuits considering Intra-die Correlations , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[3]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Yu Hen Hu,et al.  Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[5]  R. Ghanem,et al.  Stochastic Finite Elements: A Spectral Approach , 1990 .

[6]  Costas J. Spanos,et al.  Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).

[7]  Harold J. Kushner,et al.  Stochastic processes in information and dynamical systems , 1972 .

[8]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Andrzej J. Strojwas,et al.  Correlation-aware statistical timing analysis with non-Gaussian delay distributions , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[10]  Sachin S. Sapatnekar,et al.  Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[11]  C. E. Clark The Greatest of a Finite Set of Random Variables , 1961 .

[12]  Prem K. Kythe,et al.  Handbook of Computational Methods for Integration , 2004 .

[13]  David Blaauw,et al.  Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[14]  John G. Proakis,et al.  Probability, random variables and stochastic processes , 1985, IEEE Trans. Acoust. Speech Signal Process..

[15]  Sarma B. K. Vrudhula,et al.  Variational interconnect delay metrics for statistical timing analysis , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[16]  P. Ghanta,et al.  A Framework for Statistical Timing Analysis using Non-Linear Delay and Slew Models , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[17]  Sarvesh Bhardwaj,et al.  Computation of Joint Timing Yield of Sequential Networks Considering Process Variations , 2007, PATMOS.

[18]  Charlie Chung-Ping Chen,et al.  Non-gaussian statistical parameter modeling for SSTA with confidence interval analysis , 2006, ISPD '06.

[19]  Kaustav Banerjee,et al.  Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[20]  Kaushik Roy,et al.  Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation , 2003, ISLPED '03.

[21]  D. Sylvester,et al.  A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[22]  David Blaauw,et al.  Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..

[23]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[24]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[25]  Sachin S. Sapatnekar,et al.  Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.

[26]  David Blaauw,et al.  Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.

[27]  Hermann G. Matthies,et al.  Numerical Methods and Smolyak Quadrature for Nonlinear Stochastic Partial Differential Equations , 2003 .