Pulse controlled memristor-based delay element

Computing circuits suffer from the process, voltage and temperature variations and aging. These factors reduce yield and lifetime of the circuits and therefore limit the advance in modern computing technology. The process variations and aging result in timing failures that often can be resolved by delay matching. However, this strategy requires delay elements which cause additional power cost. We propose an alternative approach to implementing a pulse controlled delay element using a novel “memristor” device. The delay element has three modes of operation: tune up, tune down and normal. The main advantage of this approach is the energy efficiency due to the absence of the current path in the normal mode. Furthermore, as memristor is a non-volatile device, the proposed delay element does not need to be re-initialized every time the system starts. Thus, it can save startup power and time, which is also critical in the beyond CMOS computing. We also identify and propose a solution to the backward tuning problem which occurs when the amplitude of the normal signal is higher than the memristor threshold. A prototype was built based on ferroelectric parameter set with VTEAM model and the high voltage AMS 0.35μm technology. The simulation results showed an effective delay range from 5.48ns to 13.54ns in 6 steps with the minimum tuning pulse width of 3ns and the average delay of 1.34ns per step.

[1]  Jie Gu,et al.  Exploration of self-healing circuits for timing resilient design using emerging memristor devices , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

[2]  Georgios Ch. Sirakoulis,et al.  Memristor-Based Nanoelectronic Computing Circuits and Architectures , 2016 .

[3]  Ronald Tetzlaff,et al.  Memristors and Memristive Systems , 2014 .

[4]  G. De Micheli,et al.  Applications of Multi-Terminal Memristive Devices: A Review , 2013, IEEE Circuits and Systems Magazine.

[5]  Ali Khiat,et al.  A TiO2-based volatile threshold switching selector device with 107 non linearity and sub 100 pA Off current , 2016, 2016 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

[6]  J. Tschanz,et al.  Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance , 2009, 2009 Symposium on VLSI Circuits.

[7]  Eby G. Friedman,et al.  VTEAM: A General Model for Voltage-Controlled Memristors , 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

[8]  L. Chua Memristor-The missing circuit element , 1971 .

[9]  Uri C. Weiser,et al.  MAGIC—Memristor-Aided Logic , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[10]  M. Maymandi-Nejad,et al.  A monotonic digitally controlled delay element , 2005, IEEE Journal of Solid-State Circuits.

[11]  Jen-Shiun Chiang,et al.  The design of an all-digital phase-locked loop with small DCO hardware and fast phase lock , 1999 .

[12]  J Joshua Yang,et al.  Memristive devices for computing. , 2013, Nature nanotechnology.

[13]  Siti Musliha Ajmal Binti Mokhtar,et al.  Memristor based delay element using current starved inverter , 2013, RSM 2013 IEEE Regional Symposium on Micro and Nanoelectronics.

[14]  Abeer Alsadoon,et al.  Survey on memrister models , 2016, 2016 International Conference on Electronics, Information, and Communications (ICEIC).

[15]  Mohammad Maymandi-Nejad,et al.  A Linear Comparator-Based Fully Digital Delay Element , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[16]  Yukihide Kohira,et al.  Yield and power improvement method by post-silicon delay tuning and technology mapping , 2016, 2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

[17]  Xiao Zhang,et al.  Memristor-based programmable delay element , 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

[18]  Melvin A. Breuer,et al.  Blade -- A Timing Violation Resilient Asynchronous Template , 2015, 2015 21st IEEE International Symposium on Asynchronous Circuits and Systems.

[19]  M. Murakawa,et al.  Post-fabrication clock-timing adjustment using genetic algorithms , 2004, IEEE Journal of Solid-State Circuits.

[20]  Shimeng Yu,et al.  Verilog-A compact model for oxide-based resistive random access memory (RRAM) , 2014, 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[21]  Georgios C. Sirakoulis,et al.  Emerging Memristor-Based Logic Circuit Design Approaches: A Review , 2016, IEEE Circuits and Systems Magazine.