LAYGEN II—Automatic Layout Generation of Analog Integrated Circuits

This paper describes an innovative design automation tool, LAYGEN II, for analog integrated circuit (IC) layout generation based on template descriptions and on evolutionary computation techniques. LAYGEN II was developed giving special emphasis to the reusability of expert knowledge and to the efficiency of retargeting operations. The designer specifies the sized circuit-level structure, the required technology and also, the layout template consisting of technology and specification independent high-level layout guidelines. For placement, the topological relations present in the template are extracted to a nonslicing B*-tree layout representation, and the tool automatically merges devices and improves the floorplan quality. For routing an optimization kernel consisting of a tailored version of the multiobjective multiconstraint evolutionary algorithm NSGA-II is used. The Router optimizes all nets simultaneously and uses a built-in engine to evaluate each of the layout solutions. The automatic layout generation is demonstrated here using the LAYGEN II tool for typical analog circuit structures, and the results in GDSII format were validated using the industrial grade verification tool Calibre®.

[1]  Zheng Liu,et al.  A performance-constrained template-based layout retargeting algorithm for analog integrated circuits , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[2]  Günhan Dündar,et al.  Analog Layout Generator for CMOS Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Francisco V. Fernández,et al.  LDS - A description script for layout templates , 2011, 2011 20th European Conference on Circuit Theory and Design (ECCTD).

[4]  Nuno Horta,et al.  Analog Circuits and Systems Optimization based on Evolutionary Computation Techniques , 2010, Studies in Computational Intelligence.

[5]  Sachin S. Sapatnekar,et al.  Handbook of Algorithms for Physical Design Automation , 2008 .

[6]  Marek Syrzycki,et al.  A tool for automated analog CMOS layout module generation and placement , 2002, IEEE CCECE2002. Canadian Conference on Electrical and Computer Engineering. Conference Proceedings (Cat. No.02CH37373).

[7]  N. Lourengo,et al.  LAYGEN - Automatic Layout Generation of Analog ICs from Hierarchical Template Descriptions , 2006, 2006 Ph.D. Research in Microelectronics and Electronics.

[8]  Helmut E. Graeb,et al.  Constraint-Based Layout-Driven Sizing of Analog Circuits , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Francisco V. Fernández,et al.  An Integrated Layout-Synthesis Approach for Analog ICs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Yao-Wen Chang,et al.  B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.

[11]  Yingtao Jiang,et al.  An automated design tool for analog layouts , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Nuno Horta,et al.  GENOM-POF: multi-objective evolutionary synthesis of analog ICs with corners validation , 2012, GECCO '12.

[13]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  W. Brockherde,et al.  Alsyn: Flexible Rule-based Layout Synthesis For Analog ICs , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[15]  Nuno Horta,et al.  GA-SVM feasibility model and optimization kernel applied to analog IC design automation , 2007, GLSVLSI '07.

[16]  Rob A. Rutenbar,et al.  Computer-aided design of analog and mixed-signal integrated circuits , 2000, Proceedings of the IEEE.

[17]  Ronald L. Graham,et al.  An Efficient Algorithm for Determining the Convex Hull of a Finite Planar Set , 1972, Inf. Process. Lett..

[18]  Nuno Horta,et al.  A Skill-based library for retargetable embedded analog cores , 2001, DATE.

[19]  Blazej Nowacki,et al.  A 1.2 V 300 μW second-order switched-capacitor Δ∑ modulator using ultra incomplete settling with 73 dB SNDR and 300 kHz BW in 130 nm CMOS , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

[20]  Ranga Vemuri,et al.  Fast, layout-inclusive analog circuit synthesis using pre-compiled parasitic-aware symbolic performance models , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[21]  Kalyanmoy Deb,et al.  A fast and elitist multiobjective genetic algorithm: NSGA-II , 2002, IEEE Trans. Evol. Comput..

[22]  Uta Boehm Analog Layout Synthesis: A Survey of Topological Approaches , 2010 .

[23]  Michiel Steyaert,et al.  A layout-aware synthesis methodology for RF circuits , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[24]  Nuno Horta,et al.  Analog circuits optimization based on evolutionary computation techniques , 2010, Integr..

[25]  Florin Balasa,et al.  Using red-black interval trees in device-level analog placement with symmetry constraints , 2003, ASP-DAC '03.

[26]  Rob A. Rutenbar,et al.  ILAC: An Automated Layout Tool for Analog CMOS Circuits , 2002 .

[27]  Rob A. Rutenbar,et al.  KOAN/ANAGRAM II: new tools for device-level analog placement and routing , 1991 .

[28]  Alberto L. Sangiovanni-Vincentelli,et al.  Automation of IC layout with analog constraints , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Georges Gielen,et al.  A performance-driven placement tool for analog integrated circuits , 1995 .

[30]  Georges Gielen,et al.  CAD tools for embedded analogue circuits in mixed-signal integrated systems on chip , 2005 .

[31]  C.-J. Richard Shi,et al.  IPRAIL - intellectual property reuse-based analog IC layout automation , 2003, Integr..

[32]  Francisco V. Fernández,et al.  A Statistical Optimization-based Approach For Automated Sizing Of Analog Cells , 1994, IEEE/ACM International Conference on Computer-Aided Design.