Net-length-based routability-driven power-aware clustering

The state-of-the-art power-aware clustering tool, P-T-VPack, achieves energy reduction by localizing nets with high switching activity at the expense of channel width and area. In this study, we employ predicted individual postplacement net length information during clustering and prioritize longer nets. This approach targets the capacitance factor for energy reduction, and prioritizes longer nets for channel width and area reduction. We first introduce a new clustering strategy, W-T-VPack, which replaces the switching activity in P-T-VPack with a net length factor. We obtain a 9.87% energy reduction over T-VPack (3.78% increase over P-T-VPack), while at the same time completely eliminating P-T-VPack's channel width and area overhead. We then introduce W-P-T-VPack, which combines switching activity and net length factors. W-P-T-VPack achieves 14.26% energy reduction (0.31% increase over P-T-VPack), while further improving channel width by up to 12.87% for different cluster sizes. We investigate the energy performance of routability (channel width)-driven clustering algorithms, and show that W-T-VPack consistently outperforms T-RPack and iRAC by at least 11.23% and 9.07%, respectively. We conclude that net-length-based clustering is an effective method to concurrently target energy and channel width.

[1]  Jason Cong,et al.  Delay optimal low-power circuit clustering for FPGAs with dual supply voltages , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[2]  Vipin,et al.  Multilevel kway Hypergraph Partitioning * , 1999 .

[3]  Steven J. E. Wilton,et al.  FPGA clock network architecture: flexibility vs. area and power , 2006, FPGA '06.

[4]  Michael G. Lorenz,et al.  Power Consumption Reduction Through Dynamic Reconfiguration , 2004, FPL.

[5]  Steven J. E. Wilton,et al.  A Flexible Power Model for FPGAs , 2002, FPL.

[6]  Malgorzata Marek-Sadowska,et al.  Efficient circuit clustering for area and power reduction in FPGAs , 2002, FPGA '02.

[7]  Steven J. E. Wilton,et al.  A detailed power model for field-programmable gate arrays , 2005, TODE.

[8]  Wayne Luk,et al.  An energy and power consumption analysis of FPGA routing architectures , 2009, 2009 International Conference on Field-Programmable Technology.

[9]  Seda Ogrenci Memik,et al.  A low power FPGA routing architecture , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[10]  Steven J. E. Wilton,et al.  On the trade-off between power and flexibility of FPGA clock networks , 2008, TRETS.

[11]  Guy Lemieux,et al.  Logic block clustering of large designs for channel-width constrained FPGAs , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[12]  G. Lemieux,et al.  Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[13]  Steven J. E. Wilton,et al.  Activity Estimation for Field-Programmable Gate Arrays , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[14]  Ali Akoglu,et al.  Net Length based Routability Driven Packing , 2007, 2007 International Conference on Field-Programmable Technology.

[15]  Tim Tuan,et al.  Heterogeneous routing architecture for low-power FPGA fabric , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[16]  Majid Sarrafzadeh,et al.  Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs , 2004, J. Circuits Syst. Comput..

[17]  Andrew A. Kennings,et al.  Improving Timing-Driven FPGA Packing with Physical Information , 2007, 2007 International Conference on Field Programmable Logic and Applications.

[18]  Wayne Luk,et al.  Dynamic voltage scaling for commercial FPGAs , 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

[19]  Vaughn Betz,et al.  VPR: A new packing, placement and routing tool for FPGA research , 1997, FPL.

[20]  Mohamed I. Elmasry,et al.  Activity packing in FPGAs for leakage power reduction , 2005, Design, Automation and Test in Europe.

[21]  Guy Lemieux,et al.  GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Zied Marrakchi,et al.  Hierarchical FPGA clustering based on multilevel partitioning approach to improve routability and reduce power dissipation , 2005, 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05).

[23]  Vaughn Betz,et al.  Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density , 1999, FPGA '99.

[24]  Ali Akoglu,et al.  Wirelength Prediction for FPGAs , 2007, 2007 International Conference on Field Programmable Logic and Applications.

[25]  Julien Lamoureux,et al.  On the interaction between power-aware computer-aided design algorithms for field-programmable gate arrays , 2005 .

[26]  Andrew B. Kahng,et al.  Intrinsic shortest path length: a new, accurate a priori wirelength estimator , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[27]  Steven J. E. Wilton,et al.  Architecture and CAD for FPGA Clock Networks , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[28]  Vipin Kumar,et al.  Multilevel k-way hypergraph partitioning , 1999, DAC '99.

[29]  Vaughn Betz Architecture and CAD for speed and area optimization of FPGAs , 1998 .

[30]  Dinesh Bhatia,et al.  FPGA architecture for standby power management , 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

[31]  Julien Lamoureux,et al.  On the Interaction Between Power-Aware FPGA CAD Algorithms , 2003, ICCAD 2003.

[32]  Steven J. E. Wilton,et al.  On the Interaction between Power-Aware Computer-Aided Design Algorithms for Field-Programmable Gate Arrays , 2005, J. Low Power Electron..