High-κ dielectric breakdown in nanoscale logic devices - Scientific insight and technology impact

Abstract Dielectric breakdown is one of the key failure mechanisms in front-end silicon-based complementary metal oxide semiconductor (CMOS) technology. With the advent of HfO2-based high-κ dielectrics replacing SiO2 and metal gate replacing polysilicon and silicides, the physics of defect generation and breakdown of the oxide has changed significantly, although the mechanisms governing operation of the transistor remain essentially the same. Given the progression towards ultra-thin dielectric films with physical thickness ∼1–2 nm, the overall breakdown process has shifted from a single catastrophic hard breakdown (HBD) event to include various regimes such as soft breakdown (SBD) and progressive (post) breakdown (PBD) which in itself consists of a digital phase with random telegraph noise (RTN) fluctuations and stable average leakage current and an analog phase with gradual wear-out and lateral dilation of the percolation path resulting in a monotonic increase in leakage current. In order to better design and optimize the logic gate stack for enhancing its robustness and immunity to breakdown, it is essential to understand the driving forces and physical mechanisms behind the different phases of dielectric failure. This review is dedicated to the scientific understanding of the various regimes of breakdown in high-κ gate stacks using electrical, physical and statistical techniques along with an application of these findings to predict the impact they will have from a technology perspective.

[1]  Kin Leong Pey,et al.  The nature of dielectric breakdown , 2008 .

[2]  Kin Leong Pey,et al.  The physical origin of random telegraph noise after dielectric breakdown , 2009 .

[3]  B. Yang,et al.  Vertical Silicon-Nanowire Formation and Gate-All-Around MOSFET , 2008, IEEE Electron Device Letters.

[4]  Andreas Kerber,et al.  Stress-induced leakage current and defect generation in nFETs with HfO2/TiN gate stacks during positive-bias temperature stress , 2009, 2009 IEEE International Reliability Physics Symposium.

[5]  W. B. Knowlton,et al.  A Physical Model of the Temperature Dependence of the Current Through $\hbox{SiO}_{2}\hbox{/}\hbox{HfO}_{2}$ Stacks , 2011, IEEE Transactions on Electron Devices.

[6]  Hiroshi Iwai,et al.  Bilayer gate dielectric study by scanning tunneling microscopy , 2007 .

[7]  J. W. McPherson,et al.  Time dependent dielectric breakdown physics - Models revisited , 2012, Microelectron. Reliab..

[8]  R. Degraeve,et al.  Correlation between Stress-Induced Leakage Current (SILC) and the HfO/sub 2/ bulk trap density in a SiO/sub 2//HfO/sub 2/ stack , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[9]  Hiroshi Iwai,et al.  Grain boundary assisted degradation and breakdown study in cerium oxide gate dielectric using scanning tunneling microscopy , 2011 .

[10]  Yoon-Ha Jeong,et al.  Interfacial-Layer-Driven Dielectric Degradation and Breakdown of HfSiON/SiON Gate Dielectric nMOSFETs , 2011, IEEE Electron Device Letters.

[11]  Ignaz Eisele,et al.  A model for multistep trap-assisted tunneling in thin high-k dielectrics , 2005 .

[12]  Marc Porti,et al.  Correlation between the nanoscale electrical and morphological properties of crystallized hafnium oxide-based metal oxide semiconductor structures , 2010 .

[13]  E. Nowak,et al.  Weibull breakdown characteristics and oxide thickness uniformity , 2000 .

[14]  J. Suñé,et al.  Hydrogen-release mechanisms in the breakdown of thin SiO2 films. , 2004, Physical review letters.

[15]  S. Crank,et al.  Characterization of Defect Formation during Ni Silicidation for CMOS Device Application , 2005, Microscopy and Microanalysis.

[16]  J. Stathis Percolation models for gate oxide breakdown , 1999 .

[17]  S. Takagi,et al.  A new I-V model for stress-induced leakage current including inelastic tunneling , 1999 .

[18]  A. Chin,et al.  Tuning effective metal gate work function by a novel gate dielectric HfLaO for nMOSFETs , 2006, IEEE Electron Device Letters.

[19]  Nagarajan Raghavan,et al.  Identifying the First Layer to Fail in Dual-Layer ${\rm SiO}_{\rm x}/{\rm HfSiON}$ Gate Dielectric Stacks , 2013, IEEE Electron Device Letters.

[20]  Guido Groeseneken,et al.  Relation between breakdown mode and location in short-channel nMOSFETs and its impact on reliability specifications , 2001 .

[21]  G. Bersuker,et al.  Gate first high-k/metal gate stacks with zero SiOx interface achieving EOT=0.59nm for 16nm application , 2006, 2009 Symposium on VLSI Technology.

[22]  A. Toriumi,et al.  Dielectric Breakdown in High-K Gate Dielectrics - Mechanism and Lifetime Assessment , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[23]  K. Kakushima,et al.  Nanoscale electrical and physical study of polycrystalline high-κ dielectrics and proposed reliability enhancement techniques , 2011, 2011 International Reliability Physics Symposium.

[24]  Geert Hellings,et al.  Germanium MOSFET Devices: Advances in Materials Understanding, Process Development, and Electrical Performance , 2008 .

[25]  Hisashi Nakai,et al.  Structural and electrical properties of Ni films grown on Si(100) and SiO2 by d.c. bias sputtering , 1993 .

[26]  Xing Wu,et al.  Resilience of ultra-thin oxynitride films to percolative wear-out and reliability implications for high-κ stacks at low voltage stress , 2013 .

[27]  X. Wu,et al.  Role of grain boundary percolative defects and localized trap generation on the reliability statistics of high-κ gate dielectric stacks , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[28]  Alexandra Navrotsky,et al.  Effect of La and Y on Crystallization Temperatures of Hafnia and Zirconia , 2004 .

[29]  Patrick M. Lenahan,et al.  The effect of interfacial layer properties on the performance of Hf-based gate stack devices , 2006 .

[30]  L. Larcher,et al.  The “buffering” role of high-к in post breakdown degradation immunity of advanced dual layer dielectric gate stacks , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[31]  K. Pey,et al.  Modified Percolation Model for Polycrystalline High-$ \kappa$ Gate Stack With Grain Boundary Defects , 2011, IEEE Electron Device Letters.

[32]  P.E. Nicollian Errors in Projecting Gate Dielectric Reliability From Fowler–Nordheim Stress to Direct-Tunneling Operation , 2009, IEEE Electron Device Letters.

[33]  J. McPherson,et al.  Thermochemical description of dielectric breakdown in high dielectric constant materials , 2003 .

[34]  K. H. Gundlach,et al.  Range of validity of the WKB tunnel probability, and comparison of experimental data and theory , 1969 .

[35]  J. McPherson Quantum Mechanical Treatment of Si-O Bond Breakage in Silica Under Time Dependent Dielectric Breakdown Testing , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[36]  Nagarajan Raghavan,et al.  Using post-breakdown conduction study in a MIS structure to better understand the resistive switching mechanism in an MIM stack , 2011, Nanotechnology.

[37]  Francisco Jimenez-Molinos,et al.  Direct and trap-assisted elastic tunneling through ultrathin gate oxides , 2002 .

[38]  N. Raghavan,et al.  New statistical model to decode the reliability and weibull slope of high-κ and interfacial layer in a dual layer dielectric stack , 2010, 2010 IEEE International Reliability Physics Symposium.

[39]  V. Misra,et al.  Work Function Tuning Via Interface Dipole by Ultrathin Reaction Layers Using AlTa and AlTaN Alloys , 2006, IEEE Electron Device Letters.

[40]  Qianghua Xie,et al.  Electrical breakdown in a two-layer dielectric in the MOS structure , 2004 .

[41]  Michel Bosman,et al.  Direct visualization and in-depth physical study of metal filament formation in percolated high-κ dielectrics , 2010 .

[42]  G. Bersuker,et al.  Scanning Tunneling Microscopy Study of the Multi-Step Deposited and Annealed HfSiO x Gate Dielectric , 2011 .

[43]  Nagarajan Raghavan,et al.  Resistive switching in NiSi gate metal-oxide-semiconductor transistors , 2010 .

[44]  H. Nayfeh,et al.  Strained silicon MOSFET technology , 2002, Digest. International Electron Devices Meeting,.

[45]  X. Li,et al.  The chemistry of gate dielectric breakdown , 2008, 2008 IEEE International Electron Devices Meeting.

[46]  Durgamadhab Misra,et al.  Correlation of Negative Bias Temperature Instability and Breakdown in HfO2/TiN Gate Stacks , 2010 .

[47]  G. Bersuker,et al.  Hot carrier degradation of HfSiON gate dielectrics with TiN electrode , 2005, IEEE Transactions on Device and Materials Reliability.

[48]  Gennadi Bersuker,et al.  Limitations of Poole–Frenkel Conduction in Bilayer $\hbox{HfO}_{2}/\hbox{SiO}_{2}$ MOS Devices , 2010, IEEE Transactions on Device and Materials Reliability.

[49]  Marc Porti,et al.  Reliability of SiO2 and high-k gate insulators: A nanoscale study with conductive atomic force microscopy , 2007 .

[50]  L. Larcher,et al.  Mechanism of high-k dielectric-induced breakdown of the interfacial SiO2 layer , 2010, 2010 IEEE International Reliability Physics Symposium.

[51]  Marc Heyns,et al.  Relation between stress-induced leakage current and time-dependent dielectric breakdown in ultra-thin gate oxides , 1999 .

[52]  Xing Wu,et al.  Feasibility of SILC Recovery in Sub-10-Å EOT Advanced Metal Gate–High-$\kappa$ Stacks , 2013, IEEE Electron Device Letters.

[53]  Jon-Paul Maria,et al.  Alternative dielectrics to silicon dioxide for memory and logic devices , 2000, Nature.

[54]  M. Rafik,et al.  High-K gate stack breakdown statistics modeled by correlated interfacial layer and high-k breakdown path , 2010, 2010 IEEE International Reliability Physics Symposium.

[55]  Xing Wu,et al.  Oxygen-Soluble Gate Electrodes for Prolonged High-$ \kappa$ Gate-Stack Reliability , 2011, IEEE Electron Device Letters.

[56]  Francisco Jimenez-Molinos,et al.  Physical model for trap-assisted inelastic tunneling in metal-oxide-semiconductor structures , 2001 .

[57]  Luca Larcher,et al.  Statistical simulation of leakage currents in MOS and flash memory devices with a new multiphonon trap-assisted tunneling model , 2003 .

[58]  A. Asenov,et al.  High Mobility III-V MOSFETs For RF and Digital Applications , 2007, 2007 IEEE International Electron Devices Meeting.

[59]  S. De Gendt,et al.  Degradation and breakdown of 0.9 nm EOT SiO/sub 2/ ALD HfO/sub 2/metal gate stacks under positive constant voltage stress , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[60]  D.S.H. Chan,et al.  Analysis of charge trapping and breakdown mechanism in high-k dielectrics with metal gate electrode using carrier separation , 2003, IEEE International Electron Devices Meeting 2003.

[61]  J. McPherson,et al.  UNDERLYING PHYSICS OF THE THERMOCHEMICAL E MODEL IN DESCRIBING LOW-FIELD TIME-DEPENDENT DIELECTRIC BREAKDOWN IN SIO2 THIN FILMS , 1998 .

[62]  Alexander L. Shluger,et al.  The interaction of oxygen vacancies with grain boundaries in monoclinic HfO2 , 2009 .

[63]  L. Larcher,et al.  Breakdown in the metal/high-k gate stack: Identifying the “weak link” in the multilayer dielectric , 2008, 2008 IEEE International Electron Devices Meeting.

[64]  Eduard A. Cartier,et al.  Anode hole injection and trapping in silicon dioxide , 1996 .

[65]  Luca Larcher,et al.  Modeling of the Forming Operation in HfO2-Based Resistive Switching Memories , 2011, 2011 3rd IEEE International Memory Workshop (IMW).

[66]  T. Kauerauf,et al.  Random telegraph noise reduction in metal gate high-κ stacks by bipolar switching and the performance boosting technique , 2011, 2011 International Reliability Physics Symposium.

[67]  L. Larcher,et al.  A physics-based model of the dielectric breakdown in HfO2 for statistical reliability prediction , 2011, 2011 International Reliability Physics Symposium.

[68]  Nagarajan Raghavan,et al.  Study of preferential localized degradation and breakdown of HfO2/SiOx dielectric stacks at grain boundary sites of polycrystalline HfO2 dielectrics , 2013 .

[69]  Chenming Hu,et al.  Sub-20 nm CMOS FinFET technologies , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[70]  J. Sune,et al.  Generalized successive failure methodology for non-weibull distributions and its applications to SiO2 or high-k/SiO2 bilayer dielectrics and extrinsic failure mode , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[71]  R. Degraeve,et al.  Relation between breakdown mode and breakdown location in short channel NMOSFETs and its impact on reliability specifications , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

[72]  Byoung Hun Lee,et al.  Stress field analysis to understand the breakdown characteristics of stacked high-k dielectrics , 2009 .

[73]  Rolf-Peter Vollertsen,et al.  Is the power-law model applicable beyond the direct tunneling regime? , 2005, Microelectron. Reliab..