Line edge roughness metrology: recent challenges and advances toward more complete and accurate measurements

Abstract. Two fundamental challenges of line edge roughness (LER) metrology are to provide complete and accurate measurement of LER. We focus on recent advances concerning both challenges inspired by mathematical and computational methods. Regarding the challenge of completeness: (a) we elaborate on the multifractal analysis of LER, which decomposes the scaling behavior of edge undulations into a spectrum of fractal dimensions similarly to what a power spectral density (PSD) does in the frequency domain. Emphasis is given on the physical meaning of the multifractal spectrum and its sensitivity to pattern transfer and etching; (b) we present metrics and methods for the quantification of cross-line (interfeature) correlations between the roughness of edges belonging to the same and nearby lines. We will apply these metrics to quantify the correlations in a self-aligned quadruple patterning lithography. Regarding the challenge of accuracy, we present a PSD-based method for a noise-reduced (sometimes called unbiased) LER metrology and validate it through the analysis of synthesized SEM images. Furthermore, the method is extended to the use of the height–height correlation functions to deliver noise-reduced estimation of the correlation length and the roughness exponent of LER.

[1]  Vassilios Constantoudis,et al.  Evaluation of methods for noise-free measurement of LER/LWR using synthesized CD-SEM images , 2013, Advanced Lithography.

[2]  Roel Gronheid,et al.  Resist roughness evaluation and frequency analysis: metrological challenges and potential solutions for extreme ultraviolet lithography , 2010 .

[3]  Chris A. Mack,et al.  Analytical linescan model for SEM metrology , 2015, Advanced Lithography.

[5]  Vito Rutigliani,et al.  Multifractal analysis of line-edge roughness , 2018, Advanced Lithography.

[6]  A. Yamaguchi,et al.  Characterization of line edge roughness in resist patterns by Fourier analysis and auto-correlation function , 2002, 2002 International Microprocesses and Nanotechnology Conference, 2002. Digest of Papers..

[7]  Evangelos Gogolides,et al.  Allowable SEM noise for unbiased LER measurement , 2018, Advanced Lithography.

[8]  Elsa Arcaute,et al.  Multifractal methodology , 2016, 1606.02957.

[9]  F. Cerrina,et al.  Process dependence of roughness in a positive-tone chemically amplified resist , 1998 .

[10]  Lei Wan,et al.  Line Roughness in Lamellae-Forming Block Copolymer Films , 2017 .

[11]  Gian Francesco Lorusso,et al.  Line width roughness accuracy analysis during pattern transfer in self-aligned quadruple patterning process , 2016, SPIE Advanced Lithography.

[12]  Atsuko Yamaguchi,et al.  Bias-free measurement of LER/LWR with low damage by CD-SEM , 2006, SPIE Advanced Lithography.

[13]  J. S. Villarrubia,et al.  Unbiased estimation of linewidth roughness , 2005, SPIE Advanced Lithography.

[14]  Vassilios Constantoudis,et al.  Multifractal correlations in natural language written texts: Effects of language family and long word statistics , 2017 .

[15]  C. Mack Generating random rough edges, surfaces, and volumes. , 2013, Applied optics.

[16]  Lei Sun,et al.  Line edge roughness frequency analysis for SAQP process , 2016, Advanced Lithography.

[17]  Vassilios Constantoudis,et al.  Line edge roughness measurement through SEM images: effects of image digitization and their mitigation , 2017, European Mask and Lithography Conference.

[18]  Atsushi Hiraiwa,et al.  Statistical- and image-noise effects on experimental spectrum of line-edge and line-width roughness , 2010 .

[19]  Evangelos Gogolides,et al.  Characterization and modeling of line width roughness (LWR) , 2005, SPIE Advanced Lithography.

[20]  Shimon Levi,et al.  Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD) , 2016, SPIE Advanced Lithography.

[21]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[22]  Gregg M. Gallatin Resist blur and line edge roughness (Invited Paper) , 2004, SPIE Advanced Lithography.

[23]  Evangelos Gogolides,et al.  Challenges in line edge roughness metrology in directed self-assembly lithography: placement errors and cross-line correlations , 2017 .

[24]  Angeliki Tserepi,et al.  Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors , 2003 .

[25]  Nacim Betrouni,et al.  Fractal and multifractal analysis: A review , 2009, Medical Image Anal..

[26]  Matthew Sendelbach,et al.  Improving STI etch process development by replacing XSEM metrology with scatterometry , 2005, SPIE Advanced Lithography.

[27]  V. Constantoudis,et al.  Fractal dimension of line width roughness and its effects on transistor performance , 2008, SPIE Advanced Lithography.

[28]  Amnon Aharony,et al.  Multifractals in physics: Successes, dangers and challenges , 1990 .

[29]  Vito Rutigliani,et al.  Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer , 2018, Advanced Lithography.

[30]  C. Mack,et al.  Unbiased roughness measurements: Subtracting out SEM effects , 2018 .