Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs
暂无分享,去创建一个
[1] Mario H. Konijnenburg,et al. Automation of 3D-DfT Insertion , 2011, 2011 Asian Test Symposium.
[2] Erik Jan Marinissen,et al. Test Cost Analysis for 3D Die-to-Wafer Stacking , 2010, 2010 19th IEEE Asian Test Symposium.
[3] Patrick Dorsey. Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency , 2010 .
[4] Mark Nakamoto,et al. Impact of thinning and packaging on a deep submicron CMOS product , 2009 .
[5] Luca Perugini,et al. Input/Output Pad for Direct Contact and Contactless Testing , 2011, 2011 Sixteenth IEEE European Test Symposium.
[6] Young-Hyun Jun,et al. A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4×128 I/Os using TSV-based stacking , 2011, 2011 IEEE International Solid-State Circuits Conference.
[7] Yuan Xie,et al. Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.
[8] Hannu Tenhunen,et al. Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[9] Yervant Zorian,et al. IEEE Std 1500 Enables Modular SoC Testing , 2009, IEEE Design & Test of Computers.
[10] John P. Hayes,et al. Contactless testing: Possibility or pipe-dream? , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[11] Yervant Zorian,et al. Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.
[12] Erik Jan Marinissen,et al. DfT Architecture for 3D-SICs with Multiple Towers , 2011, 2011 Sixteenth IEEE European Test Symposium.
[13] Bart Swinnen,et al. 3D System Integration Technologies , 2007, ICICDT 2007.
[14] Erik Jan Marinissen,et al. Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs with a Passive Silicon Interposer Base , 2011, 2011 Asian Test Symposium.
[15] Paresh Limaye,et al. Design issues and considerations for low-cost 3D TSV IC technology , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[16] Erik Jan Marinissen,et al. Test Data Volume Comparison: Monolithic vs. Modular SoC Testing , 2009, IEEE Design & Test of Computers.
[17] Ding-Ming Kwai,et al. On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).
[18] Erik Jan Marinissen,et al. Evaluation of TSV and micro-bump probing for wide I/O testing , 2011, 2011 IEEE International Test Conference.
[19] Harald P. E. Vranken,et al. Enhanced Reduced Pin-Count Test for Full-Scan Design , 2002, J. Electron. Test..
[20] K. Saban. Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity , Bandwidth , and Power Efficiency , 2009 .
[21] Erik Jan Marinissen,et al. Test scheduling for modular SOCs in an abort-on-fail environment , 2005, European Test Symposium (ETS'05).
[22] Erik Jan Marinissen,et al. Minimizing pattern count for interconnect test under a ground bounce constraint , 2003, IEEE Design & Test of Computers.
[23] Yervant Zorian. Multi-chip module test strategies , 1997 .
[24] Paresh Limaye,et al. Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack - Challenges and solutions , 2010, IEEE Custom Integrated Circuits Conference 2010.
[25] Ding-Ming Kwai,et al. On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification , 2009, 2009 Asian Test Symposium.
[26] Paul D. Franzon,et al. Through Silicon Via(TSV) defect/pinhole self test circuit for 3D-IC , 2009, 2009 IEEE International Conference on 3D System Integration.
[27] Erik Jan Marinissen,et al. On maximizing the compound yield for 3D Wafer-to-Wafer stacked ICs , 2010, 2010 IEEE International Test Conference.
[28] Nilanjan Mukherjee,et al. Embedded deterministic test , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Mario H. Konijnenburg,et al. 3D DfT architecture for pre-bond and post-bond testing , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).
[30] Erik Jan Marinissen,et al. Testing TSV-based three-dimensional stacked ICs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[31] Mitsumasa Koyanagi,et al. Handbook of 3D Integration , 2008 .
[32] Sherief Reda,et al. Maximizing the Functional Yield of Wafer-to-Wafer 3-D Integration , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[33] Robert S. Patti,et al. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.
[34] Young-Hyun Jun,et al. 8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.
[35] Peter Ramm,et al. Handbook of 3D integration : technology and applications of 3D integrated circuits , 2012 .
[36] Mario H. Konijnenburg,et al. A structured and scalable test access architecture for TSV-based 3D stacked ICs , 2010, 2010 28th VLSI Test Symposium (VTS).
[37] Eshan Singh. Exploiting rotational symmetries for improved stacked yields in W2W 3D-SICs , 2011, 29th VLSI Test Symposium.
[38] Erik Jan Marinissen,et al. On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking , 2010, 2010 15th IEEE European Test Symposium.
[39] Mario H. Konijnenburg,et al. A DfT Architecture for 3D-SICs Based on a Standardizable Die Wrapper , 2012, J. Electron. Test..
[40] Luca Benini,et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.
[41] Erik Jan Marinissen,et al. Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base , 2011, 2011 IEEE International Test Conference.
[42] Dhiraj K. Pradhan,et al. Design Automation and Test in Europe (DATE) , 2014 .
[43] G. Smith,et al. Yield considerations in the choice of 3D technology , 2007, 2007 International Symposium on Semiconductor Manufacturing.
[44] Lin Fu,et al. High throughput non-contact SiP testing , 2007, 2007 IEEE International Test Conference.
[45] So-Ra Kim,et al. 8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[46] William R. Mann,et al. The leading edge of production wafer probe test technology , 2004, 2004 International Conferce on Test.
[47] T. Kuwata,et al. Design and measurements of test element group wafer thinned to 10 /spl mu/m for 3D system in package , 2004, Proceedings of the 2004 International Conference on Microelectronic Test Structures (IEEE Cat. No.04CH37516).