Comprehensive frequency-dependent substrate noise analysis using boundary element methods

We present a comprehensive methodology for the electrodynamic modeling of substrate noise coupling. A new and efficient method is introduced for the calculation of the Green's function that can accommodate arbitrary substrate doping profiles and thus facilitate substrate noise analysis using boundary element methods. In addition to a discussion of the application of the method and its validation in the context of substrate transfer resistance extraction, preliminary results from its application to frequency-dependent substrate noise modeling are presented also.

[1]  Stephen P. Boyd,et al.  Simple accurate expressions for planar spiral inductances , 1999, IEEE J. Solid State Circuits.

[2]  Emrah Acar,et al.  h-gamma: an RC delay metric based on a gamma distribution approximation of the homogeneous response , 1998, ICCAD '98.

[3]  David Blaauw,et al.  False-noise analysis using logic implications , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[4]  C. L. Liu,et al.  Optimization of the maximum delay of global interconnects duringlayer assignment , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Edmund M. Clarke,et al.  Model Checking , 1999, Handbook of Automated Reasoning.

[6]  H. De Man,et al.  Optimization of memory organization and hierarchy for decreased size and power in video and image processing systems , 1995, Records of the 1995 IEEE International Workshop on Memory Technology, Design and Testing.

[7]  Joao Marques-Silva,et al.  Robust search algorithms for test pattern generation , 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.

[8]  Tracy Larrabee Efficient generation of test patterns using Boolean difference , 1989, Proceedings. 'Meeting the Tests of Time'., International Test Conference.

[9]  Juyang Weng,et al.  Using Discriminant Eigenfeatures for Image Retrieval , 1996, IEEE Trans. Pattern Anal. Mach. Intell..

[10]  Lei He,et al.  Leakage power modeling and reduction with data retention , 2002, ICCAD 2002.

[11]  Chau-Wen Tseng,et al.  Improving data locality with loop transformations , 1996, TOPL.

[12]  Massimo Maresca,et al.  Image processing on high-performance RISC systems , 1996, Proc. IEEE.

[13]  Monica S. Lam,et al.  Efficient context-sensitive pointer analysis for C programs , 1995, PLDI '95.

[14]  Nikil D. Dutt,et al.  Low-power memory mapping through reducing address bus activity , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[15]  André Ivanov,et al.  Dynamic testability measures for ATPG , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Seth Copen Goldstein,et al.  NanoFabrics: spatial computing using molecular electronics , 2001, ISCA 2001.

[17]  Thomas Waas,et al.  Automatic generation of phase shift mask layouts , 1994 .

[18]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.

[19]  George L. Nemhauser,et al.  Note--On "Location of Bank Accounts to Optimize Float: An Analytic Study of Exact and Approximate Algorithms" , 1979 .

[20]  George Pajari Writing UNIX Device Drivers , 1991 .

[21]  David Blaauw,et al.  Slope propagation in static timing analysis , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Prab Varma TDRC-a symbolic simulation based design for testability rules checker , 1990, Proceedings. International Test Conference 1990.

[23]  John Wawrzynek,et al.  Stream Computations Organized for Reconfigurable Execution (SCORE) Extended Abstract , 2000 .

[24]  De Figueiredo,et al.  Self-validated numerical methods and applications , 1997 .

[25]  Oscar E. Agazzi,et al.  Design considerations for gigabit Ethernet 1000Base-T twisted pair transceivers , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[26]  Sachin S. Sapatnekar,et al.  A practical methodology for early buffer and wire resource allocation , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  Chak-Kuen Wong,et al.  Layer assignment for multichip modules , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Ed F. Deprettere,et al.  An Approach for Quantitative Analysis of Application-Specific Dataflow Architectures , 1997, ASAP.

[29]  Lawrence T. Pileggi,et al.  Equipotential shells for efficient inductance extraction , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  Vasco M. Manquinho,et al.  On using satisfiability-based pruning techniques in covering algorithms , 2000, DATE '00.

[31]  Román Hermida,et al.  Multiple-precision circuits allocation independent of data-objects length , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[32]  Shih-Chieh Chang,et al.  Efficient Boolean division and substitution using redundancy addition and removing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[33]  Fook-Luen Heng,et al.  Enabling alternating phase shifted mask designs for a full logic gate level: design rules and design rule checking , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[34]  E. Rosenbaum,et al.  Substrate resistance modeling and circuit-level simulation of parasitic device coupling effects for CMOS I/O circuits under ESD stress , 1998, Electrical Overstress/ Electrostatic Discharge Symposium Proceedings. 1998 (Cat. No.98TH8347).

[35]  S. Narendra,et al.  1.1 V 1 GHz communications router with on-chip body bias in 150 nm CMOS , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[36]  Enrico Macii,et al.  Power estimation of behavioral descriptions , 1998, Proceedings Design, Automation and Test in Europe.

[37]  Qinru Qiu,et al.  An interleaved dual-battery power supply for battery-operated electronics , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[38]  Malcolm E. Davis,et al.  Electrostatics in biomolecular structure and dynamics , 1990 .

[39]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[40]  John E. Dennis,et al.  An Adaptive Nonlinear Least-Squares Algorithm , 1977, TOMS.

[41]  M. Hamada,et al.  Low-power CMOS digital design with dual embedded adaptive power supplies , 2000, IEEE Journal of Solid-State Circuits.

[42]  Gregory A. Northrop,et al.  Chip integration methodology for the IBM S/390 G5 and G6 custom microprocessors , 1999, IBM Journal of Research and Development.

[43]  Dynamic state traversal for sequential circuit test generation , 2000, TODE.

[44]  On identifying undetectable and redundant faults in synchronous sequential circuits , 1994, Proceedings of IEEE VLSI Test Symposium.

[45]  Philip N. Strenski,et al.  Gradient-based optimization of custom circuits using a static-timing formulation , 1999, DAC '99.

[46]  Andrew B. Kahng,et al.  Min-max placement for large-scale timing optimization , 2002, ISPD '02.

[47]  Zohar Manna,et al.  The Temporal Logic of Reactive and Concurrent Systems , 1991, Springer New York.

[48]  William H. Mangione-Smith,et al.  The filter cache: an energy efficient memory structure , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[49]  Masanori Hashimoto,et al.  Post-layout transistor sizing for power reduction in cell-based design , 2001, ASP-DAC '01.

[50]  Alan Mathewson,et al.  Optimizing MOS transistor mismatch , 1998, IEEE J. Solid State Circuits.

[51]  Steven M. Nowick,et al.  Robust interfaces for mixed-timing systems with application to latency-insensitive protocols , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[52]  Sorin P. Voinigescu,et al.  Circuits and technologies for highly integrated optical networking ICs at 10 Gb/s to 40 Gb/s , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[53]  J. Michael Harrison,et al.  Brownian models of multiclass queueing networks: Current status and open problems , 1993, Queueing Syst. Theory Appl..

[54]  Mohammed Ismail,et al.  Statistical Modeling for Computer-Aided Design of Mos VLSI Circuits , 1993 .

[55]  Kaushik Roy,et al.  A power macromodeling technique based on power sensitivity , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[56]  Miodrag Potkonjak,et al.  Designing power efficient hypermedia processors , 1999, ISLPED '99.

[57]  P. Silvester,et al.  Modal network theory of skin effect in flat conductors , 1966 .

[58]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[59]  S. Tam,et al.  Clock generation and distribution for the first IA-64 microprocessor , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[60]  Takayasu Sakurai,et al.  VTCMOS characteristics and its optimum conditions predicted by a compact analytical model , 2001, ISLPED '01.

[61]  Michael Gschwind,et al.  Instruction set selection for ASIP design , 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

[62]  David W. Binkley,et al.  Interprocedural slicing using dependence graphs , 1990, TOPL.

[63]  Chung Laung Liu,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[64]  Byron L. Krauter,et al.  Generating sparse partial inductance matrices with guaranteed stability , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[65]  K. R. Lakshmikumar,et al.  Characterisation and modeling of mismatch in MOS transistors for precision analog design , 1986 .

[66]  Barry Honig,et al.  Extending the Applicability of the Nonlinear Poisson−Boltzmann Equation: Multiple Dielectric Constants and Multivalent Ions† , 2001 .

[67]  David Bearden,et al.  Application-based, transistor-level full-chip power analysis for 700 MHz PowerPC/sup TM/ microprocessor , 2000, Proceedings 2000 International Conference on Computer Design.

[68]  Sarma B. K. Vrudhula,et al.  BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis , 1993, 30th ACM/IEEE Design Automation Conference.

[69]  R. Zauhar,et al.  The rigorous computation of the molecular electric potential , 1988 .

[70]  Rob A. Rutenbar,et al.  Practical Synthesis of High-Performance Analog Circuits , 1998 .

[71]  N. Aluru,et al.  A boundary cloud method with a cloud-by-cloud polynomial basis , 2003 .

[72]  Karem A. Sakallah,et al.  Constructive library-aware synthesis using symmetries , 2000, DATE '00.

[73]  Olivier Coudert,et al.  A unified framework for the formal verification of sequential circuits , 1990, ICCAD 1990.

[74]  Kwang-Ting Cheng,et al.  Logic optimization by an improved sequential redundancy addition and removal techniques , 1995, ASP-DAC '95.

[75]  B. Honig,et al.  On the calculation of electrostatic interactions in proteins. , 1985, Journal of molecular biology.

[76]  Ali M. Niknejad,et al.  Numerically stable Green function for modeling and analysis of substrate coupling in integrated circuits , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[77]  Andreas Kuehlmann,et al.  Equivalence checking using cuts and heaps , 1997, DAC.

[78]  Kaushik Roy,et al.  A twisted-bundle layout structure for minimizing inductive coupling noise , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[79]  L. Lovász,et al.  Geometric Algorithms and Combinatorial Optimization , 1981 .

[80]  Nur A. Touba,et al.  Test vector decompression via cyclical scan chains and its application to testing core-based designs , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[81]  Irith Pomeranz,et al.  Full scan fault coverage with partial scan , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[82]  J. N. Lyness Some Quadrature Rules for Finite Trigonometric and Related Integrals , 1987 .

[83]  Charles M. Lieber,et al.  Carbon nanotube-based nonvolatile random access memory for molecular computing , 2000, Science.

[84]  J.N. Seizovic,et al.  Pipeline synchronization , 1994, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems.

[85]  Miodrag Potkonjak,et al.  Optimizing power using transformations , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[86]  H. C. Poon,et al.  DC Model for short-channel IGFET's , 1973 .

[87]  Emil Alexov,et al.  Rapid grid‐based construction of the molecular surface and the use of induced surface charge to calculate reaction field energies: Applications to the molecular systems and geometric objects , 2002, J. Comput. Chem..

[88]  Fred J. Pollack New microarchitecture challenges in the coming generations of CMOS process technologies (keynote address)(abstract only) , 1999, MICRO.

[89]  Stephen P. Boyd,et al.  Optimization of inductor circuits via geometric programming , 1999, DAC '99.

[90]  W. Roshen Effect of finite thickness of magnetic substrate on planar inductors , 1990 .

[91]  Shantanu Dutt,et al.  VLSI circuit partitioning by cluster-removal using iterative improvement techniques , 1996, ICCAD 1996.

[92]  Yao-Wen Chang,et al.  B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.

[93]  Giovanni De Micheli,et al.  Cache-efficient memory layout of aggregate data structures , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[94]  Chih-Liang Eric Cheng,et al.  Risa: Accurate And Efficient Placement Routability Modeling , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[95]  Kathryn S. McKinley,et al.  Tile size selection using cache organization and data layout , 1995, PLDI '95.

[96]  Ravi Nair,et al.  A Simple Yet Effective Technique for Global Wiring , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[97]  Jonathan B. Rosenberg,et al.  Geographical Data Structures Compared: A Study of Data Structures Supporting Region Queries , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[98]  Jason Cong,et al.  An interconnect energy model considering coupling effects , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[99]  Alan J. Hu,et al.  Monitor-Based Formal Specification of PCI , 2000, FMCAD.

[100]  Robert C. Aitken,et al.  Nanometer Technology Effects on Fault Models for IC Testing , 1999, Computer.

[101]  Sungpack Hong,et al.  Decomposition of Bus-Invert Coding for Low-Power I/O , 2000, J. Circuits Syst. Comput..

[102]  Wing K. Luk,et al.  A fast physical constraint generator for timing priven layout , 1991, 28th ACM/IEEE Design Automation Conference.

[103]  Alberto L. Sangiovanni-Vincentelli,et al.  Test generation for sequential circuits , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[104]  D. Bochmann,et al.  A new decomposition method for multilevel circuit design , 1991, Proceedings of the European Conference on Design Automation..

[105]  Bruce Hendrickson,et al.  The Chaco user`s guide. Version 1.0 , 1993 .

[106]  Miron Abramovici,et al.  FIRE: a fault-independent combinational redundancy identification algorithm , 1996, IEEE Trans. Very Large Scale Integr. Syst..

[107]  Valeria Bertacco,et al.  The disjunctive decomposition of logic functions , 1997, ICCAD 1997.

[108]  P. Banerjee,et al.  Parallel algorithms for simultaneous scheduling, binding and floorplanning in high-level synthesis , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).

[109]  K. Asanović,et al.  Leakage-biased domino circuits for dynamic fine-grain leakage reduction , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[110]  Kurt Keutzer,et al.  Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[111]  Sachin S. Sapatnekar On the chicken-and-egg problem of determining the effect of crosstalk on delay in integrated circuits , 1999, IEEE 8th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.99TH8412).

[112]  Michael S. Hsiao,et al.  Novel spectral methods for built-in self-test in a system-on-a-chip environment , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[113]  Chung-Kuan Cheng,et al.  A global router with a theoretical bound on the optimal solution , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[114]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[115]  Malgorzata Marek-Sadowska,et al.  Interconnect resource-aware placement for hierarchical FPGAs , 2001, ICCAD.

[116]  Farid N. Najm,et al.  Analytical model for high level power modeling of combinational and sequential circuits , 1999, Proceedings IEEE Alessandro Volta Memorial Workshop on Low-Power Design.

[117]  L. R. Scott,et al.  Electrostatics and diffusion of molecules in solution: simulations with the University of Houston Brownian dynamics program , 1995 .

[118]  In-Ho Moon,et al.  To split or to conjoin: the question in image computation , 2000, DAC.

[119]  Randal E. Bryant,et al.  Formal verification by symbolic evaluation of partially-ordered trajectories , 1995, Formal Methods Syst. Des..

[120]  Taewhan Kim,et al.  Utilization of Multiport Memories in Data Path Synthesis , 1993, 30th ACM/IEEE Design Automation Conference.

[121]  Allen C.-H. Wu,et al.  A predictive system shutdown method for energy saving of event-driven computation , 1997, ICCAD 1997.

[122]  M. Doyle,et al.  Relaxation Phenomena in Lithium‐Ion‐Insertion Cells , 1994 .

[123]  D. Teegarden,et al.  How to model and simulate microgyroscope systems , 1998 .

[124]  James R. Larus,et al.  EEL: machine-independent executable editing , 1995, PLDI '95.

[125]  M. Reed,et al.  Microfabrication of a Mechanically Controllable Break Junction in Silicon , 1995 .

[126]  James D. Z. Ma,et al.  Towards global routing with RLC crosstalk constraints , 2002, DAC '02.

[127]  A.P. Chandrakasan,et al.  A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[128]  Stoddart,et al.  Electronically configurable molecular-based logic gates , 1999, Science.

[129]  Majid Sarrafzadeh,et al.  Predictable routing , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[130]  Dongkun Shin,et al.  Low-energy intra-task voltage scheduling using static timing analysis , 2001, DAC '01.

[131]  N. P. van der Meijs,et al.  Boundary element methods for 3D capacitance and substrate resistance calculations in inhomogeneous media in a VLSI layout verification package , 1994 .

[132]  L. Benini,et al.  Lookup table power macro-models for behavioral library components , 1999, Proceedings IEEE Alessandro Volta Memorial Workshop on Low-Power Design.

[133]  Steven Fortune,et al.  Parallelism in random access machines , 1978, STOC.

[134]  Daniel Boley Krylov space methods on state-space control models , 1994 .

[135]  Asawaree Kalavade,et al.  A tool for performance estimation of networked embedded end-systems , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[136]  Frank M. Johannes,et al.  Performance optimization by interacting netlist transformations andplacement , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[137]  Frank Harary,et al.  Graph Theory , 2016 .

[138]  Hans-Martin Rein,et al.  Modeling substrate effects in the design of high-speed Si-bipolar ICs , 1996, IEEE J. Solid State Circuits.

[139]  Samuel P. Morgan,et al.  Input Versus Output Queueing on a Space-Division Packet Switch , 1987, IEEE Trans. Commun..

[140]  Peivand F. Tehrani,et al.  Deep sub-micron static timing analysis in presence of crosstalk , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[141]  Steven W. Rick,et al.  The Aqueous Solvation of Water: A Comparison of Continuum Methods with Molecular Dynamics , 1994 .

[142]  John A. Waicukauski,et al.  Two-dimensional test data decompressor for multiple scan designs , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[143]  Seth Copen Goldstein,et al.  Fast compilation for pipelined reconfigurable fabrics , 1999, FPGA '99.

[144]  Christopher Michael,et al.  A flexible statistical model for CAD of submicrometer analog CMOS integrated circuits , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[145]  D. Brand Verification of large synthesized designs , 1993, ICCAD 1993.

[146]  Michel Dagenais,et al.  Transistor-level estimation of worst-case delays in MOS VLSI circuits , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[147]  Robert E. Tarjan,et al.  Fast Algorithms for Finding Nearest Common Ancestors , 1984, SIAM J. Comput..

[148]  Yoshinori Takeuchi,et al.  Effectiveness of the ASIP design system PEAS-III in design of pipelined processors , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

[149]  Rob A. Rutenbar,et al.  OASYS: a framework for analog circuit synthesis , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[150]  Marco Spuri,et al.  Implications of Classical Scheduling Results for Real-Time Systems , 1995, Computer.

[151]  Yucheng Wang,et al.  LIBRA—a library-independent framework for post-layout performance optimization , 1998, ISPD '98.

[152]  Jörg Henkel,et al.  A hardware/software partitioner using a dynamically determined granularity , 1997, DAC.

[153]  Preeti Ranjan Panda,et al.  SystemC - a modeling platform supporting multiple design abstractions , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[154]  Brad L. Hutchings,et al.  JHDL-an HDL for reconfigurable systems , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[155]  Viraphol Chaiyakul,et al.  An algorithm for array variable clustering , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

[156]  J. Rajski,et al.  A method for concurrent decomposition and factorization of Boolean expressions , 1990, ICCAD 1990.

[157]  M. Horowitz,et al.  Low-power digital design , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[158]  Yici Cai,et al.  A new congestion-driven placement algorithm based on cell inflation , 2001, ASP-DAC '01.

[159]  P. Avouris,et al.  Engineering Carbon Nanotubes and Nanotube Circuits Using Electrical Breakdown , 2001, Science.

[160]  Tadahiro Kuroda,et al.  Utilizing surplus timing for power reduction , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[161]  Wolfgang Thomas,et al.  On the Synthesis of Strategies in Infinite Games , 1995, STACS.

[162]  Shyh-Chyi Wong,et al.  Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .

[163]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[164]  Paul H. Bardell,et al.  Self-Testing of Multichip Logic Modules , 1982, International Test Conference.

[165]  Kewal K. Saluja,et al.  An Efficient Algorithm for Sequential Circuit Test Generation , 1993, IEEE Trans. Computers.

[166]  Luca Benini,et al.  Event-driven power management , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[167]  Dongsheng Wang,et al.  A new timing-driven multilayer MCM/IC routing algorithm , 1997, Proceedings 1997 IEEE Multi-Chip Module Conference.

[168]  Armando Tacchella,et al.  Backjumping for Quantified Boolean Logic satisfiability , 2001, Artif. Intell..

[169]  Edsger W. Dijkstra,et al.  A note on two problems in connexion with graphs , 1959, Numerische Mathematik.

[170]  Kwang-Ting Cheng,et al.  Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[171]  Alan Eustace,et al.  ATOM - A System for Building Customized Program Analysis Tools , 1994, PLDI.

[172]  Klaus Eckl,et al.  Functional Multiple-Output Decomposition: Theory and an Implicit Algorithm , 1995, 32nd Design Automation Conference.

[173]  Irith Pomeranz,et al.  Classification of Faults in Synchronous Sequential Circuits , 1993, IEEE Trans. Computers.

[174]  Dirk Stroobandt,et al.  The interpretation and application of Rent's rule , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[175]  Randal E. Bryant,et al.  Effective use of Boolean satisfiability procedures in the formal verification of superscalar and VLIW microprocessors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[176]  Athanasios Papoulis,et al.  Probability, Random Variables and Stochastic Processes , 1965 .

[177]  J. Paul Roth,et al.  Diagnosis of automata failures: a calculus and a method , 1966 .

[178]  Jason Cong,et al.  Performance driven multi-layer general area routing for PCB/MCM designs , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[179]  Luca Benini,et al.  Power optimization of core-based systems by address bus encoding , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[180]  Ing-Jer Huang,et al.  Synthesis of application specific instruction sets , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[181]  Marek A. Perkowski,et al.  Multi-valued functional decomposition as a machine learning method , 1998, Proceedings. 1998 28th IEEE International Symposium on Multiple- Valued Logic (Cat. No.98CB36138).

[182]  Randal E. Bryant,et al.  Exploiting symmetry when verifying transistor-level circuits by symbolic trajectory evaluation , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[183]  Mattan Kamon,et al.  FastPep: a fast parasitic extraction program for complex three-dimensional geometries , 1997, ICCAD 1997.

[184]  Kewal K. Saluja,et al.  Test application time reduction for sequential circuits with scan , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[185]  Michael H. Schulz,et al.  Advanced automatic test pattern generation and redundancy identification techniques , 1988, [1988] The Eighteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.

[186]  Asad A. Abidi,et al.  A single-chip 900-MHz spread-spectrum wireless transceiver in 1-/spl mu/m CMOS. I. Architecture and transmitter design , 1998 .

[187]  Domine M. W. Leenaerts Application of interval analysis for circuit design , 1990 .

[188]  C. L. Liu,et al.  A new performance driven placement algorithm , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[189]  Alberto L. Sangiovanni-Vincentelli,et al.  Interface-based design , 1997, DAC.

[190]  M. Trick,et al.  A proven methodology for designing one-million-gate ASICs , 1996, Proceedings of Custom Integrated Circuits Conference.

[191]  Erik Bruun,et al.  Direct downconversion with switching CMOS mixer , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

[192]  Bruce Tidor,et al.  Barstar is electrostatically optimized for tight binding to barnase , 2001, Nature Structural Biology.

[193]  William J. Dally,et al.  Smart Memories: a modular reconfigurable architecture , 2000, ISCA '00.

[194]  Kozo Kinoshita,et al.  Conservative Logic Elements and Their Universality , 1979, IEEE Transactions on Computers.

[195]  Hans K. Buning,et al.  Propositional Logic: Deduction and Algorithms , 1999 .

[196]  Henk Corporaal,et al.  Designing domain-specific processors , 2001, CODES '01.

[197]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[198]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[199]  Jason Cong,et al.  DUNE: a multi-layer gridless routing system with wire planning , 2000, ISPD '00.

[200]  John J. Granacki,et al.  DEFACTO: A Design Environment for Adaptive Computing Technology , 1999, IPPS/SPDP Workshops.

[201]  George Papadopoulos,et al.  Full-wave PEEC time-domain method for the modeling of on-chipinterconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[202]  Mary Jane Irwin,et al.  An edge-based heuristic for Steiner routing , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[203]  Luca Benini,et al.  Selective instruction compression for memory energy reduction in embedded systems , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[204]  Alberto L. Sangiovanni-Vincentelli,et al.  Platform-Based Design and Software Design Methodology for Embedded Systems , 2001, IEEE Des. Test Comput..

[205]  Xiaomei Liu,et al.  Performance of submicron CMOS devices and gates with substrate biasing , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

[206]  Ing-Jer Huang,et al.  Synthesis of Instruction Sets for Pipelined Microprocessors , 1994, 31st Design Automation Conference.

[207]  Gary K. Fedder,et al.  ANALYSIS OF TEMPERATURE-DEPENDENT RESIDUAL STRESS GRADIENTS IN CMOS MICROMACHINED STRUCTURES , 1999 .

[208]  Spyros Tragoudas,et al.  Generating deterministic unordered test patterns with counters , 1996, Proceedings of 14th VLSI Test Symposium.

[209]  Takeshi Yoshimura,et al.  Efficient Algorithms for Channel Routing , 1982, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[210]  Jiri Soukup,et al.  Fast Maze Router , 1978, 15th Design Automation Conference.

[211]  Katarzyna Radecka,et al.  Arithmetic built-in self-test for DSP cores , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[212]  R. Singh A review of substrate coupling issues and modeling strategies , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[213]  Nikil D. Dutt,et al.  Local memory exploration and optimization in embedded systems , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[214]  Dionisios N. Pnevmatikatos,et al.  Architecture and Application of PLATO, A Reconfigurable Active Network Platform , 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).

[215]  Massoud Pedram,et al.  Module assignment for low power , 1996, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition.

[216]  Richard J. Carter,et al.  Defect tolerance on the Teramac custom computer , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[217]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[218]  Barry Honig,et al.  Focusing of electric fields in the active site of Cu‐Zn superoxide dismutase: Effects of ionic strength and amino‐acid modification , 1986, Proteins.

[219]  Gang Quan,et al.  A realistic variable voltage scheduling model for real-time applications , 2002, ICCAD 2002.

[220]  Premachandran R. Menon,et al.  Multi-level Logic Optimization By Implication Analysis , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[221]  M. Karplus,et al.  Proteins: A Theoretical Perspective of Dynamics, Structure, and Thermodynamics , 1988 .

[222]  Jim D. Garside,et al.  AMULET3: a 100 MIPS asynchronous embedded processor , 2000, Proceedings 2000 International Conference on Computer Design.

[223]  Marc van Heijningen,et al.  High-level simulation of substrate noise generation including power supply noise coupling , 2000, Proceedings 37th Design Automation Conference.

[224]  Ulrich Lauther,et al.  Congestion-driven placement using a new multi-partitioning heuristic , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[225]  Rob A. Rutenbar,et al.  sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing , 2003, ISPD '02.

[226]  U. Ko,et al.  Characterization and design of a low-power, high-performance cache architecture , 1995, 1995 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers.

[227]  Leon Stok,et al.  Transformational placement and synthesis , 2000, DATE '00.

[228]  Lawrence T. Pileggi,et al.  PRIMO: probability interpretation of moments for delay calculation , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[229]  John P. Lehoczky,et al.  Real-time queueing network theory , 1997, Proceedings Real-Time Systems Symposium.

[230]  Thomas A. Henzinger,et al.  Interface automata , 2001, ESEC/FSE-9.

[231]  Frank Vahid,et al.  Exploiting Fixed Programs in Embedded Systems: A Loop Cache Example , 2002, IEEE Computer Architecture Letters.

[232]  Kayhan Kucukcakar An ASIP design methodology for embedded systems , 1999 .

[233]  J. Andrew Grant,et al.  A smooth permittivity function for Poisson–Boltzmann solvation methods , 2001, J. Comput. Chem..

[234]  H. Takahashi,et al.  A 1 V DSP for wireless communications , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.

[235]  James Demmel,et al.  Applied Numerical Linear Algebra , 1997 .

[236]  Massoud Pedram,et al.  Register Allocation and Binding for Low Power , 1995, 32nd Design Automation Conference.

[237]  Joseph D. Kanapka Fast methods for extraction and sparsification of substrate coupling , 2000, Proceedings 37th Design Automation Conference.

[238]  J. C. Love,et al.  Architectures for Molecular Electronic Computers , 2002 .

[239]  Jason Cong,et al.  Pseudo pin assignment with crosstalk noise control , 2000, ISPD '00.

[240]  Charles M. Lieber,et al.  Functional nanoscale electronic devices assembled using silicon nanowire building blocks. , 2001, Science.

[241]  Tanya E. Jewell Optical system design issues in development of projection camera for EUV lithography , 1995, Advanced Lithography.

[242]  H. Fair,et al.  Clocking design and analysis for a 600 MHz Alpha microprocessor , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[243]  Vivek De,et al.  Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED '01.

[244]  Kaushik Roy,et al.  Mixed-Vth (MVT) CMOS circuit design methodology for low power applications , 1999, DAC '99.

[245]  Eric A. Vittoz,et al.  IDAC: an interactive design tool for analog CMOS circuits , 1987 .

[246]  Yao-Wen Chang,et al.  Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[247]  Ralph H. J. M. Otten,et al.  Challenges in physical chip design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[248]  Narayanan Vijaykrishnan,et al.  Evaluating run-time techniques for leakage power reduction , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[249]  Barenco,et al.  Elementary gates for quantum computation. , 1995, Physical review. A, Atomic, molecular, and optical physics.

[250]  Shih-Chieh Chang,et al.  Perturb And Simplify: Multi-level Boolean Network Optimizer , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[251]  Georges G. E. Gielen,et al.  Simulation-based automatic generation of signomial and posynomial performance models for analog integrated circuit sizing , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[252]  Lawrence T. Pileggi,et al.  Modeling the "Effective capacitance" for the RC interconnect of CMOS gates , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[253]  Gaofeng Wang,et al.  Efficient generation of timing and power polynomial models from lookup tables for SoC designs , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[254]  Patrick Schaumont,et al.  A methodology and design environment for DSP ASIC fixed point refinement , 1999, DATE '99.

[255]  Michael Levitt,et al.  Finite‐difference solution of the Poisson–Boltzmann equation: Complete elimination of self‐energy , 1996, J. Comput. Chem..

[256]  Kaushik Roy,et al.  Frequency domain analysis of switching noise on power supply network , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[257]  Jeffrey K. Hollingsworth,et al.  An API for Runtime Code Patching , 2000, Int. J. High Perform. Comput. Appl..

[258]  Ing-Jer Huang,et al.  Generating Instruction Sets And Microarchitectures From Applications , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[259]  Mary Jane Irwin,et al.  Some issues in gray code addressing , 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

[260]  Mihai Budiu Application-Specific Hardware: Computing Without CPUs , 2001 .

[261]  Ramesh Karri,et al.  Simultaneous scheduling and binding for power minimization during microarchitecture synthesis , 1995, ISLPED '95.

[262]  Olivier Sentieys,et al.  Memory module selection for high level synthesis , 1996, VLSI Signal Processing, IX.

[263]  Scott Hauck,et al.  High-performance carry chains for FPGA's , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[264]  Diana Marculescu,et al.  Power and performance evaluation of globally asynchronous locally synchronous processors , 2002, ISCA.

[265]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[266]  Lawrence T. Pileggi,et al.  The Elmore delay as a bound for RC trees with generalized input signals , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[267]  Frank Vahid,et al.  An object-oriented communication library for hardware-software codesign , 1997, Proceedings of 5th International Workshop on Hardware/Software Co Design. Codes/CASHE '97.

[268]  M. Hanan,et al.  On Steiner’s Problem with Rectilinear Distance , 1966 .

[269]  Willy Sansen,et al.  Distortion in elementary transistor circuits , 1999 .

[270]  Jose Pineda de Gyvez Integrated circuit defect-sensitivity - theory and computational models , 1993, The Kluwer international series in engineering and computer science.

[271]  Charles J. Alpert,et al.  Buffer insertion with accurate gate and interconnect delay computation , 1999, DAC '99.

[272]  Ernest S. Kuh,et al.  An Algorithm for Performance-Driven Placement of Cell-Based ICs , 1991 .

[273]  Malgorzata Marek-Sadowska,et al.  Efficient static timing analysis in presence of crosstalk , 2000 .

[274]  R. C. Frye Integration and electrical isolation in CMOS mixed-signal wireless chips , 2001 .

[275]  Rob A. Rutenbar,et al.  A comparative study of two Boolean formulations of FPGA detailed routing constraints , 2001, IEEE Transactions on Computers.

[276]  Chi-Ying Tsui,et al.  Saving power in the control path of embedded processors , 1994, IEEE Design & Test of Computers.

[277]  Denis Martin,et al.  A simulation-based protocol-driven scan test design rule checker , 1994, Proceedings., International Test Conference.

[278]  Luciano Serafini,et al.  Toward an architecture for quantum programming , 2001, ArXiv.

[279]  Dhiraj K. Pradhan,et al.  Gate-level synthesis for low-power using new transformations , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[280]  Karl S. Hemmert,et al.  A CAD suite for high-performance FPGA design , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

[281]  Leslie Greengard,et al.  A fast algorithm for particle simulations , 1987 .

[282]  H. Berendsen,et al.  The electric potential of a macromolecule in a solvent: A fundamental approach , 1991 .

[283]  Jacob K. White,et al.  Simulation and modeling of the effect of substrate conductivity on coupling inductance , 1995, Proceedings of International Electron Devices Meeting.

[284]  Michael H. Schulz,et al.  SOCRATES: a highly efficient automatic test pattern generation system , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[285]  B. Krauter,et al.  Including inductive effects in interconnect timing analysis , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[286]  L. H. Goldstein,et al.  Controllability/observability analysis of digital circuits , 1978 .

[287]  Janak H. Patel,et al.  New Techniques for Deterministic Test Pattern Generation , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[288]  Stephane Bergeon,et al.  MEMSMaster: a new approach to prototype MEMS , 2001, Symposium on Design, Test, Integration, and Packaging of MEMS/MOEMS.

[289]  Jörg Henkel,et al.  Code compression for low power embedded system design , 2000, Proceedings 37th Design Automation Conference.

[290]  Richard Kielbasa,et al.  Synthesis and Analysis of Sigma-Delta Modulators Employing Continuous-Time Filters , 2000 .

[291]  Andrew T. Yang,et al.  Stable and efficient reduction of substrate model networks using congruence transforms , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[292]  Raminderpal Singh FastCap: A Multipole Accelerated 3D Capacitance Extraction Program , 2002 .

[293]  Christos D. Zaroliagis,et al.  All-Pairs Min-Cut in Sparse Networks , 1995, J. Algorithms.

[294]  Robert K. Brayton,et al.  Heuristic Minimization of BDDs Using Don't Cares , 1994, 31st Design Automation Conference.

[295]  Gang Quan,et al.  Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors , 2001, DAC '01.

[296]  Kenneth Y. Yun,et al.  Pausible clocking-based heterogeneous systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[297]  D. R. White,et al.  Structural cohesion and embeddedness: A hierarchical concept of social groups , 2003 .

[298]  Majid Sarrafzadeh,et al.  A delay budgeting algorithm ensuring maximum flexibility in placement , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[299]  Yusuke Matsunaga An efficient equivalence checker for combinational circuits , 1996, DAC '96.

[300]  Jörg Henkel A low power hardware/software partitioning approach for core-based embedded systems , 1999, DAC '99.

[301]  Alexander Chatzigeorgiou,et al.  Memory hierarchy exploration for low power architectures in embedded multimedia applications , 2001, ICIP.

[302]  James D. Meindl,et al.  A physical alpha-power law MOSFET model , 1999 .

[303]  Kazuo Yano,et al.  Random Modulation: Multi-Threshold-Voltage Design Methodology in Sub-2-V Power Supply CMOS , 2000 .

[304]  J. S. Przemieniecki Theory of matrix structural analysis , 1985 .

[305]  Vivek De,et al.  A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[306]  Hugo De Man,et al.  Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[307]  A. Chatterjee,et al.  Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[308]  Tamal Mukherjee,et al.  Simulation of Manufacturing Variations in a Z-axis CMOS-MEMS Gyroscope , 2002 .

[309]  T. C. Chern,et al.  Fast algorithm for optimal layer assignment , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[310]  Martin D. F. Wong,et al.  Wire-sizing optimization with inductance consideration using transmission-line model , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[311]  Henk Corporaal,et al.  Automatic detection of recurring operation patterns , 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

[312]  Chaitali Chakrabarti,et al.  Memory Design and Exploration for Low Power, Embedded Systems , 1999 .

[313]  Donald E. Thomas,et al.  Subsetting Behavioral Intellectual Property for Low Power ASIP Design , 1999, J. VLSI Signal Process..

[314]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[315]  David Blaauw,et al.  Estimation of the likelihood of capacitive coupling noise , 2002, DAC '02.

[316]  Malgorzata Marek-Sadowska,et al.  Aggressor alignment for worst-case crosstalk noise , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[317]  Jacob K. White,et al.  FastCap: a multipole accelerated 3-D capacitance extraction program , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[318]  Massoud Pedram,et al.  Architectural energy optimization by bus splitting , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[319]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[320]  Robert K. Brayton,et al.  A force-directed macro-cell placer , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[321]  Sung-Mo Kang,et al.  Coupling-driven signal encoding scheme for low-power interface design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[322]  Jason Cong,et al.  An implicit connection graph maze routing algorithm for ECO routing , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[323]  Radu Marculescu,et al.  Sequence compaction for power estimation: theory and practice , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[324]  A. Cangellaris,et al.  Novel closed-form Green's function in shielded planar layered media , 2000 .

[325]  Bruce Tidor,et al.  Electrostatic Complementarity at Ligand Binding Sites: Application to Chorismate Mutase , 2001 .

[326]  Charles J. Alpert,et al.  The ISPD98 circuit benchmark suite , 1998, ISPD '98.

[327]  Jason Cong,et al.  Improved crosstalk modeling for noise constrained interconnect optimization , 2001, ASP-DAC '01.

[328]  Primo Scandolara,et al.  Crosstalk aware static timing analysis: a two step approach , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[329]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[330]  Daniel G. Saab,et al.  Iterative simulation-based Genetics + Deterministic Techniques = Complete AtPG , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[331]  S.C. Goldstein,et al.  Digital logic using molecular electronics , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[332]  Maxim Sviridenko,et al.  Approximation Algorithms for Maximum Coverage and Max Cut with Given Sizes of Parts , 1999, IPCO.

[333]  Hai Zhou,et al.  Simultaneous routing and buffer insertion with restrictions on buffer locations , 1999, DAC '99.

[334]  Massoud Pedram,et al.  Layout driven logic restructuring/decomposition , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[335]  Hans-Joachim Wunderlich,et al.  Minimized Power Consumption for Scan-Based BIST , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[336]  Alberto L. Sangiovanni-Vincentelli,et al.  DELIGHT.SPICE: an optimization-based system for the design of integrated circuits , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[337]  David Blaauw,et al.  Driver modeling and alignment for worst-case delay noise , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[338]  Maher Kayal,et al.  LAYIN: toward a global solution for parasitic coupling modeling and visualization , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[339]  Carl Sechen,et al.  Timing and crosstalk driven area routing , 1998, DAC.

[340]  Ramesh Karri,et al.  High-reliability, low-energy microarchitecture synthesis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[341]  Silvio Micali,et al.  Efficient, Perfect Random Number Generators , 1988, CRYPTO.

[342]  Shoichi Masui,et al.  Experimental results and modeling techniques for substrate noise in mixed-signal integrated circuits , 1993 .

[343]  Tadahiro Kuroda,et al.  Variable Threshold-Voltage CMOS Technology , 2000 .

[344]  Thomas A. Henzinger,et al.  Interface Theories for Component-Based Design , 2001, EMSOFT.

[345]  Lov K. Grover A framework for fast quantum mechanical algorithms , 1997, STOC '98.

[346]  Rohit Kapur,et al.  P1500-CTL: Towards a Standard Core Test Language , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[347]  Joseph Y.-T. Leung,et al.  On the complexity of fixed-priority scheduling of periodic, real-time tasks , 1982, Perform. Evaluation.

[348]  John M. Cohn,et al.  Managing power and performance for System-on-Chip designs using Voltage Islands , 2002, ICCAD 2002.

[349]  Kurt Keutzer,et al.  Getting to the bottom of deep submicron , 1998, ICCAD '98.

[350]  T. Nguyen,et al.  A 0.9 V to 1.95 V dynamic voltage-scalable and frequency-scalable 32 b PowerPC processor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[351]  Kiyoung Choi,et al.  Behavior-to-placed RTL synthesis with performance-driven placement , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[352]  William J. Bowhill,et al.  Design of High-Performance Microprocessor Circuits , 2001 .

[353]  Qi Jing,et al.  A hierarchical circuit-level design methodology for microelectromechanical systems , 1999 .

[354]  Eby G. Friedman,et al.  A unified design methodology for CMOS tapered buffers , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[355]  Steven W. K. Tjiang,et al.  SUIF: an infrastructure for research on parallelizing and optimizing compilers , 1994, SIGP.

[356]  Andrew S. Glassner,et al.  Graphics Gems , 1990 .

[357]  Ralph A. Marlett An Effective Test Generation System for Sequential Circuits , 1986, DAC 1986.

[358]  Kamal Chaudhary,et al.  Post-placement residual-overlap removal with minimal movement , 1999, DATE '99.

[359]  Pawan Kapur,et al.  Power estimation in global interconnects and its reduction using a novel repeater optimization methodology , 2002, DAC '02.

[360]  P.R. Gray,et al.  OPASYN: a compiler for CMOS operational amplifiers , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[361]  Tsutomu Sasao Multi-Level Logic Synthesis , 1999 .

[362]  Behzad Razavi,et al.  A study of oscillator jitter due to supply and substrate noise , 1999 .

[363]  Hideo Fujiwara,et al.  SPIRIT: a highly robust combinational test generation algorithm , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[364]  Seiichiro Tani,et al.  Efficient path selection for delay testing based on partial path evaluation , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[365]  Masashi Horiguchi,et al.  Switched-source-impedance CMOS circuit for low standby subthreshold current giga-scale LSI's , 1993 .

[366]  Igor L. Markov,et al.  Solving difficult SAT instances in the presence of symmetry , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[367]  J. Paul Roth,et al.  Computer Logic Testing And Verification , 1980 .

[368]  Majid Sarrafzadeh,et al.  Restricted track assignment with applications , 1994, Int. J. Comput. Geom. Appl..

[369]  Monica S. Lam,et al.  Automatic computation and data decomposition for multiprocessors , 1997 .

[370]  M.L. Liou,et al.  Computer-aided analysis of electronic circuits: Algorithms and computational techniques , 1977, Proceedings of the IEEE.

[371]  Andrew B. Kahng,et al.  Faster minimization of linear wirelength for global placement , 1997, ISPD '97.

[372]  Frank Vahid Techniques for minimizing and balancing I/O during functional partitioning , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[373]  David E. Long,et al.  Large-scale capacitance calculation , 2000, Proceedings 37th Design Automation Conference.

[374]  John Wawrzynek,et al.  Garp: a MIPS processor with a reconfigurable coprocessor , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[375]  Niraj K. Jha,et al.  High-level synthesis of low-power control-flow intensive circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[376]  Alberto L. Sangiovanni-Vincentelli,et al.  Modeling digital substrate noise injection in mixed-signal IC's , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[377]  John P. Fishburn,et al.  TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.

[378]  Tadahiro Kuroda,et al.  Variable supply-voltage scheme with 95%-efficiency DC-DC converter for MPEG-4 codec , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[379]  Irith Pomeranz,et al.  On finding undetectable and redundant faults in synchronous sequential circuits , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[380]  Charles H. Bennett,et al.  Logical reversibility of computation , 1973 .

[381]  Sung-Mo Kang,et al.  Crosstalk-minimum layer assignment , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

[382]  Majid Sarrafzadeh,et al.  Congestion reduction during placement based on integer programming , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[383]  T. Sakurai,et al.  Simple formulas for two- and three-dimensional capacitances , 1983, IEEE Transactions on Electron Devices.

[384]  Pierre G. Paulin,et al.  Force-directed scheduling for the behavioral synthesis of ASICs , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[385]  Jaijeet Roychowdhury,et al.  Computation of circuit waveform envelopes using an efficient, matrix-decomposed harmonic balance algorithm , 1996, ICCAD 1996.

[386]  W. Dally Interconnect-limited VLSI architecture , 1999, Proceedings of the IEEE 1999 International Interconnect Technology Conference (Cat. No.99EX247).

[387]  Lawrence T. Pileggi,et al.  Efficient inductance extraction via windowing , 2001, DATE '01.

[388]  Kenneth L. McMillan,et al.  Applying SAT Methods in Unbounded Symbolic Model Checking , 2002, CAV.

[389]  Chong-Min Kyung,et al.  Synthesis of Application Specific Instructions for Embedded DSP Software , 1999, IEEE Trans. Computers.

[390]  Wolfgang Fichtner,et al.  Practical design of globally-asynchronous locally-synchronous systems , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[391]  Alberto L. Sangiovanni-Vincentelli,et al.  Multiple-Valued Minimization for PLA Optimization , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[392]  Sarita Thakar,et al.  On the generation of test patterns for combinational circuits , 1993 .

[393]  TingTing Hwang,et al.  A re-engineering approach to low power FPGA design using SPFD , 1998, DAC.

[394]  Hiroshi Kawaguchi,et al.  Delay and noise formulas for capacitively coupled distributed RC lines , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

[395]  Robert K. Brayton,et al.  Sequential SPFDs , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[396]  Hugo De Man,et al.  Instruction set definition and instruction selection for ASIPs , 1994, Proceedings of 7th International Symposium on High-Level Synthesis.

[397]  David L. Dill,et al.  Trace theory for automatic hierarchical verification of speed-independent circuits , 1989, ACM distinguished dissertations.

[398]  Hiroto Yasuura,et al.  Software Energy Reduction Techniques for Variable-Voltage Processors , 2001, IEEE Des. Test Comput..

[399]  Shin-ichi Minato,et al.  Zero-Suppressed BDDs for Set Manipulation in Combinatorial Problems , 1993, 30th ACM/IEEE Design Automation Conference.

[400]  Masahiro Fujita,et al.  Advanced Verification Techniques Based on Learning , 1995, 32nd Design Automation Conference.

[401]  Luís Miguel Silveira,et al.  A convex programming approach to positive real rational approximation , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[402]  Edmund M. Clarke,et al.  Sequential circuit verification using symbolic model checking , 1991, DAC '90.

[403]  Bulent Basaran,et al.  Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor , 2000, ISPD '00.

[404]  Chen,et al.  Large On-Off Ratios and Negative Differential Resistance in a Molecular Electronic Device. , 1999, Science.

[405]  Tao Lin,et al.  On the efficacy of simplified 2D on-chip inductance models , 2002, DAC '02.

[406]  M. Stoer Design of Survivable Networks , 1993 .

[407]  Ping-Chung Li,et al.  Electromigration: the time bomb in deep-submicron ICs , 1996 .

[408]  Ozgur Sinanoglu,et al.  Test power reduction through minimization of scan chain transitions , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[409]  Samir Khuller,et al.  A uniform framework for approximating weighted connectivity problems , 1999, SODA '99.

[410]  Yves Rolain,et al.  Order estimation for linear time-invariant systems using frequency domain identification methods , 1997, IEEE Trans. Autom. Control..

[411]  John Arends,et al.  Instruction fetch energy reduction using loop caches for embedded applications with small tight loops , 1999, ISLPED '99.

[412]  Jayadev Misra,et al.  Distributed discrete-event simulation , 1986, CSUR.

[413]  J. Mccammon,et al.  Dynamics of Proteins and Nucleic Acids , 2018 .

[414]  Takayasu Sakurai,et al.  Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .

[415]  David S. Johnson,et al.  Some Simplified NP-Complete Graph Problems , 1976, Theor. Comput. Sci..

[416]  J. Lau,et al.  A 900 MHz CMOS balanced harmonic mixer for direct conversion receivers , 2000, RAWCON 2000. 2000 IEEE Radio and Wireless Conference (Cat. No.00EX404).

[417]  Giovanni De Micheli,et al.  Resolution, optimization, and encoding of pointer variables for thebehavioral synthesis from C , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[418]  Jochen A. G. Jess,et al.  Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with a piecewise linear simulator , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[419]  Jeffrey S. Salowe,et al.  Closing the gap: near-optimal Steiner trees in polynomial time , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[420]  A. Alomary,et al.  An ASIP instruction set optimization algorithm with functional module sharing constraint , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[421]  Frank Vahid,et al.  SpecSyn: an environment supporting the specify-explore-refine paradigm for hardware/software system design , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[422]  Paul G. Villarrubia,et al.  An integrated placement and synthesis approach for timing closure of PowerPC/sup TM/ microprocessors , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[423]  Steven M. Nowick,et al.  A low-latency FIFO for mixed-clock systems , 2000, Proceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era.

[424]  Henry Kautz,et al.  Noise Strategies for Local Search , 1994, AAAI 1994.

[425]  Hiroshi Kawaguchi,et al.  VTH-hopping scheme to reduce subthreshold leakage for low-power processors , 2002, IEEE J. Solid State Circuits.

[426]  Satoshi Shigematsu,et al.  A 1-V high-speed MTCMOS circuit scheme for power-down application circuits , 1997, IEEE J. Solid State Circuits.

[427]  W. T. Weeks,et al.  Resistive and inductive skin effect in rectangular conductors , 1979 .

[428]  B. Koenemann LFSR-coded test patterns for scan designs , 1991 .

[429]  Irith Pomeranz,et al.  Simulation based test generation for scan designs , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[430]  Randal E. Bryant,et al.  Formal verification of PowerPC arrays using symbolic trajectory evaluation , 1996, DAC '96.

[431]  Malgorzata Marek-Sadowska,et al.  Single-pass redundancy addition and removal , 2001, ICCAD.

[432]  Kenneth L. Shepard,et al.  Return-limited inductances: a practical approach to on-chipinductance extraction , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[433]  Kouichi Kanda,et al.  Two orders of magnitude leakage power reduction of low voltage SRAMs by row-by-row dynamic V/sub dd/ control (RRDV) scheme , 2002, 15th Annual IEEE International ASIC/SOC Conference.

[434]  Bill Lin,et al.  Design and implementation of high-speed symmetric crossbar schedulers , 1999, 1999 IEEE International Conference on Communications (Cat. No. 99CH36311).

[435]  Alan Mishchenko An Experimental Evaluation of Algorithms for Computation of Internal Don’t-Cares in Boolean Networks , 2001 .

[436]  B. Wooley,et al.  Measuring and modeling the effects of substrate noise on the LNA for a CMOS GPS receiver , 2001, IEEE J. Solid State Circuits.

[437]  F. d'Heurle Electromigration and failure in electronics: An introduction , 1971 .

[438]  Bernard N. Sheehan ENOR: model order reduction of RLC circuits using nodal equations for efficient factorization , 1999, DAC '99.

[439]  William B. Kuhn,et al.  Bandpass /spl Sigma//spl Delta/ modulator employing undersampling of RF signals for wireless communication , 2000 .

[440]  Johnny Öberg,et al.  Lowering power consumption in clock by using globally asynchronous locally synchronous design style , 1999, DAC '99.

[441]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[442]  M. Aoki,et al.  Subthreshold current reduction for decoded-driver by self-reverse biasing (DRAMs) , 1993 .

[443]  Charles M. Lieber,et al.  Logic Gates and Computation from Assembled Nanowire Building Blocks , 2001, Science.

[444]  Christoph Albrecht,et al.  Global routing by new approximation algorithms for multicommodityflow , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[445]  Joachim P. Walser Solving Linear Pseudo-Boolean Constraint Problems with Local Search , 1997, AAAI/IAAI.

[446]  Rajendran Panda,et al.  Library-less synthesis for static CMOS combinational logic circuits , 1997, ICCAD 1997.

[447]  Kewal K. Saluja,et al.  An algorithm to reduce test application time in full scan designs , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[448]  Giovanni De Micheli,et al.  Synthesis of hardware models in C with pointers and complex data structures , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[449]  Robert K. Brayton,et al.  Combinational test generation using satisfiability , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[450]  Malgorzata Marek-Sadowska,et al.  Fast post-placement rewiring using easily detectable functional symmetries , 2000, DAC.

[451]  P. Madden,et al.  Improved cut sequences for partitioning based placement , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[452]  A. Jerraya,et al.  Virtual Prototyping For Modular And Flexible Hardware-Software Systems , 1997, Des. Autom. Embed. Syst..

[453]  David H. Albonesi,et al.  Selective cache ways: on-demand cache resource allocation , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.

[454]  Jason Cong Timing closure based on physical hierarchy , 2002, ISPD '02.

[455]  Dhiraj K. Pradhan,et al.  Recursive learning: a new implication technique for efficient solutions to CAD problems-test, verification, and optimization , 1994, The IEEE International Symposium on Circuits and Systems, 2003. Tutorial Guide: ISCAS 2003..

[456]  M. Jezewski An approach to the analysis of injection-locked oscillators , 1974 .

[457]  Mohamed I. Elmasry,et al.  Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique , 2002, DAC '02.

[458]  Bruce Hendrickson,et al.  A Multi-Level Algorithm For Partitioning Graphs , 1995, Proceedings of the IEEE/ACM SC95 Conference.

[459]  L. Ljung,et al.  Subspace-based multivariable system identification from frequency response data , 1996, IEEE Trans. Autom. Control..

[460]  Armin Biere,et al.  Symbolic Model Checking without BDDs , 1999, TACAS.

[461]  Malay K. Ganai,et al.  Circuit-based Boolean reasoning , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[462]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[463]  Mircea R. Stan Optimal Voltages and Sizing for Low Power , 1999 .

[464]  Florin Balasa,et al.  Symmetry within the sequence-pair representation in the context ofplacement for analog design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[465]  Andris Ambainis,et al.  ROM-based computation: quantum versus classical , 2002, Quantum Inf. Comput..

[466]  Arthur Robert Weeks,et al.  Computer imaging recipes in C , 1993 .

[467]  Joao Marques-Silva,et al.  GRASP: A Search Algorithm for Propositional Satisfiability , 1999, IEEE Trans. Computers.

[468]  Frank Vahid,et al.  Using on-chip configurable logic to reduce embedded system software energy , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

[469]  Johan A. Pouwelse,et al.  Energy priority scheduling for variable voltage processors , 2001, ISLPED '01.

[470]  David E. Long,et al.  Efficient thee-dimensional extraction based on static and full-wave layered Green's functions , 1998, DAC.

[471]  D. G. Pierce,et al.  Electromigration: A review , 1997 .

[472]  Irith Pomeranz,et al.  Conflict driven techniques for improving deterministic test pattern generation , 2002, ICCAD 2002.

[473]  Robert E. Tarjan,et al.  Performance Bounds for Level-Oriented Two-Dimensional Packing Algorithms , 1980, SIAM J. Comput..

[474]  Dhiraj K. Pradhan,et al.  A novel pattern generator for near-perfect fault-coverage , 1995, Proceedings 13th IEEE VLSI Test Symposium.

[475]  Benjamin C. Kuo,et al.  AUTOMATIC CONTROL SYSTEMS , 1962, Universum:Technical sciences.

[476]  Rajendran Panda,et al.  Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[477]  J. von Neumann,et al.  Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .

[478]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluator , 1991, 28th ACM/IEEE Design Automation Conference.

[479]  Wayne Luk,et al.  A Digit-Serial Structure for Reconfigurable Multipliers , 2001, FPL.

[480]  Miodrag Potkonjak,et al.  Latency-guided on-chip bus network design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[481]  Scott McMillan,et al.  Partial Run-Time Reconfiguration Using JRTR , 2000, FPL.

[482]  Youn-Long Lin,et al.  A performance-driven standard-cell placer based on a modified force-directed algorithm , 2001, ISPD '01.

[483]  Mahmut T. Kandemir,et al.  Influence of compiler optimizations on system power , 2000, Proceedings 37th Design Automation Conference.

[484]  Sanjukta Bhanja,et al.  Dependency preserving probabilistic modeling of switching activity using Bayesian networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[485]  Michael Immediato,et al.  Enchanced multi-threshold (MTCMOS) circuits using variable well bias , 2001, ISLPED '01.

[486]  Shen Lin,et al.  Clocktree RLC extraction with efficient inductance modeling , 2000, DATE '00.

[487]  John K. Ousterhout A Switch-Level Timing Verifier for Digital MOS VLSI , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[488]  Jaijeet Roychowdhury,et al.  Cyclostationary noise analysis of large RF circuits with multitone excitations , 1998 .

[489]  Mark C. Johnson,et al.  Design and optimization of dual-threshold circuits for low-voltage low-power applications , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[490]  Hans-Joachim Wunderlich,et al.  Mixed-Mode BIST Using Embedded Processors , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[491]  Joan L. Mitchell,et al.  MPEG Video: Compression Standard , 1996 .

[492]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[493]  Kurt Keutzer,et al.  Rethinking Deep-Submicron Circuit Design , 1999, Computer.

[494]  M. Reed,et al.  Room-Temperature Negative Differential Resistance in Nanoscale Molecular Junctions , 2000 .

[495]  A. R. Newton,et al.  Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .

[496]  C. Yue,et al.  On-chip Spiral Inductors With Patterned Ground Shields For Si-based RF IC's , 1997, Symposium 1997 on VLSI Circuits.

[497]  L. S. Nielsen,et al.  Low-power operation using self-timed circuits and adaptive scaling of the supply voltage , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[498]  David Hung-Chang Du,et al.  Efficient timing analysis for CMOS circuits considering data dependent delays , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[499]  S. Wong,et al.  Exploiting CMOS reverse interconnect scaling in multigigahertz amplifier and oscillator design , 2001, IEEE J. Solid State Circuits.

[500]  Peter B. Denyer,et al.  Address generation for array access based on modulus m counters , 1991, Proceedings of the European Conference on Design Automation..

[501]  Sagar Chaki,et al.  Types as models: model checking message-passing programs , 2002, POPL '02.

[502]  Larry L. Biro,et al.  Power considerations in the design of the Alpha 21264 microprocessor , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[503]  C. Meixenberger,et al.  Towards an analog system design environment , 1989 .

[504]  Masayuki Miyazaki,et al.  A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSIs , 1998, ISLPED '98.

[505]  Mark C. Johnson,et al.  Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks , 1998, ISLPED '98.

[506]  Robert G. Meyer,et al.  Modeling and analysis of substrate coupling in integrated circuits , 1996 .

[507]  Carl Ebeling,et al.  PathFinder: A Negotiation-Based Performance-Driven Router for FPGAs , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

[508]  Ching-Tsun Chou,et al.  The Mathematical Foundation fo Symbolic Trajectory Evaluation , 1999, CAV.

[509]  Madhav P. Desai,et al.  A systematic technique for verifying critical path delays in a 300MHz Alpha CPU design using circuit simulation , 1996, DAC '96.

[510]  E. Emerson,et al.  Tree Automata, Mu-Calculus and Determinacy (Extended Abstract) , 1991, FOCS 1991.

[511]  Christoph Albrecht,et al.  Provably good global routing by a new approximation algorithm for multicommodity flow , 2000, ISPD '00.

[512]  Jason Cong,et al.  Performance driven global routing for standard cell design , 1997, ISPD '97.

[513]  Kurt Keutzer,et al.  Switching window computation for static timing analysis in presence of crosstalk noise , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[514]  Hiroshi Tomita,et al.  A magnetic thin film inductor and its application to a MHz switching dc-dc converter , 1994 .

[515]  S. Hellebrand,et al.  An Efficient Bist Scheme Based On Reseeding Of Multiple Polynomial Linear Feedback Shift Registers , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[516]  Rajesh Gupta,et al.  Design planning for high-performance ASICs , 1996, IBM J. Res. Dev..

[517]  Niraj K. Jha,et al.  Memory binding for performance optimization of control-flow intensive behaviors , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[518]  Robert K. Brayton,et al.  Logic optimization and code generation for embedded control applications , 2001, CODES '01.

[519]  Joseph A. Fisher Customized instruction-sets for embedded processors , 1999, DAC '99.

[520]  K. Mori,et al.  A 450 MHz 64 b RISC processor using multiple threshold voltage CMOS , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[521]  Dave Hightower A solution to line-routing problems on the continuous plane , 1969, DAC '69.

[522]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[523]  Nur A. Touba,et al.  Virtual scan chains: a means for reducing scan length in cores , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[524]  Ruby B. Lee,et al.  Bit permutation instructions for accelerating software cryptography , 2000, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors.

[525]  Lawrence T. Pileggi,et al.  TACO: timing analysis with COupling , 2000, Proceedings 37th Design Automation Conference.

[526]  Mary Jane Irwin,et al.  An extended addressing mode for low power , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.

[527]  Robert K. Brayton,et al.  The use of observability and external don't cares for the simplification of multi-level networks , 1991, DAC '90.

[528]  Mattan Kamon,et al.  FASTHENRY: a multipole-accelerated 3-D inductance extraction program , 1994 .

[529]  Peter Y. K. Cheung,et al.  A reconfigurable multiplier array for video image processing tasks, suitable for embedding in an FPGA structure , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[530]  C. P. Ravikumar,et al.  Hierarchical Delay Test Generation , 1997, J. Electron. Test..

[531]  Keith Baker,et al.  Defect-based delay testing of resistive vias-contacts a critical evaluation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[532]  Heonshik Shin,et al.  Visual assessment of a real-time system design: a case study on a CNC controller , 1996, 17th IEEE Real-Time Systems Symposium.

[533]  Majid Sarrafzadeh,et al.  Congestion minimization during placement , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[534]  Olivier Sentieys,et al.  Multi-algorithm ASIP synthesis and power estimation for DSP applications , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

[535]  David W. Knapp Fasolt: a program for feedback-driven data-path optimization , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[536]  M. Newman,et al.  Fast Approximation Algorithms for Finding Node-Independent Paths in Networks , 2001 .

[537]  N. P. van der Meijs,et al.  Combined BEM/FEM substrate resistance modeling , 2002, DAC '02.

[538]  Janusz Rajski,et al.  A method to calculate necessary assignments in algorithmic test pattern generation , 1990, Proceedings. International Test Conference 1990.

[539]  Sung-Mo Kang,et al.  An exact solution to the transistor sizing problem for CMOS circuits using convex optimization , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[540]  Charles R. Kime,et al.  Fixed-biased pseudorandom built-in self-test for random pattern resistant circuits , 1994, Proceedings., International Test Conference.

[541]  Ruby B. Lee,et al.  Architectural enhancements for fast subword permutations with repetitions in cryptographic applications , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[542]  Gary D. Hachtel,et al.  Performance enhancements in BOLD using 'implications' , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[543]  T. Sakurai,et al.  V/sub TH/-hopping scheme for 82% power saving in low-voltage processors , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[544]  Mahmut T. Kandemir,et al.  DRAM energy management using software and hardware directed power mode control , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[545]  Joost P. Warners,et al.  A Linear-Time Transformation of Linear Inequalities into Conjunctive Normal Form , 1998, Inf. Process. Lett..

[546]  Keith A. Jenkins,et al.  When are transmission-line effects important for on-chip interconnections? , 1997 .

[547]  Robert K. Brayton,et al.  Regular Fabrics in Deep Sub-Micron Integrated-Circuit Design , 2004, IWLS.

[548]  Carl Ebeling,et al.  Mapping applications to the RaPiD configurable architecture , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[549]  T. Strom,et al.  Analysis of periodically switched linear circuits , 1977 .

[550]  Lawrence T. Pileggi,et al.  Timing metrics for physical design of deep submicron technologies , 1998, ISPD '98.

[551]  Frank Vahid,et al.  A Study on the Loop Behavior of Embedded Programs , 2002 .

[552]  A. Alvandpour,et al.  High-performance and low-power challenges for sub-70 nm microprocessor circuits , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[553]  C. Hoer,et al.  Exact inductance equations for rectangular conductors with applications to more complicated geometries , 1965 .

[554]  L. J. Bain,et al.  Introduction to Probability and Mathematical Statistics , 1987 .

[555]  Frank Vahid,et al.  Improving Software Performance with Configurable Logic , 2002, Des. Autom. Embed. Syst..

[556]  Uwe Meyer-Baese,et al.  Digital Signal Processing with Field Programmable Gate Arrays , 2001 .

[557]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[558]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[559]  Anirudh Devgan Efficient coupled noise estimation for on-chip interconnects , 1997, ICCAD 1997.

[560]  Jordi Cortadella,et al.  High-level synthesis techniques for reducing the activity of functional units , 1995, ISLPED '95.

[561]  Ramesh R. Rao,et al.  Energy efficient battery management , 2001, IEEE J. Sel. Areas Commun..

[562]  T.H. Lee,et al.  Oscillator phase noise: a tutorial , 1999, IEEE Journal of Solid-State Circuits.

[563]  Gabriel Robins,et al.  Non-tree routing , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

[564]  Krishnendu Chakrabarty,et al.  System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[565]  Michael J. Wirthlin,et al.  Efficient Constant Coefficient Multiplication Using Advanced FPGA Architectures , 2001, FPL.

[566]  Ankur Srivastava,et al.  Predictability in RT-Level Designs , 2002, J. Circuits Syst. Comput..

[567]  Roland W. Freund,et al.  Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm , 1995, 32nd Design Automation Conference.

[568]  Majid Sarrafzadeh,et al.  A super-scheduler for embedded reconfigurable systems , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[569]  Tadahiro Kuroda,et al.  Variable supply-voltage scheme for low-power high-speed CMOS digital design , 1998, IEEE J. Solid State Circuits.

[570]  Charles M. Lieber,et al.  Diameter-controlled synthesis of single-crystal silicon nanowires , 2001 .

[571]  Yuval Rabani,et al.  Allocating Bandwidth for Bursty Connections , 2000, SIAM J. Comput..

[572]  Kouichi Kumagai,et al.  A novel powering-down scheme for low Vt CMOS circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[573]  Doug Simon,et al.  Assembly to high-level language translation , 1998, Proceedings. International Conference on Software Maintenance (Cat. No. 98CB36272).

[574]  Olivier Coudert,et al.  The implicit set paradigm: A new approach to finite state system verification , 1995, Formal Methods Syst. Des..

[575]  Joao Marques-Silva,et al.  Using Randomization and Learning to Solve Hard Real-World Instances of Satisfiability , 2000, CP.

[576]  Sudipto Guha,et al.  Approximating the throughput of multiple machines under real-time scheduling , 1999, STOC '99.

[577]  P.D. Gross,et al.  Determination of worst-case aggressor alignment for delay calculation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[578]  Luís Miguel Silveira,et al.  Robust rational function approximation algorithm for model generation , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

[579]  C. Landrault,et al.  On calculating efficient LFSR seeds for built-in self test , 1999, European Test Workshop 1999 (Cat. No.PR00390).

[580]  Christer Svensson,et al.  Trading speed for low power by choice of supply and threshold voltages , 1993 .

[581]  Xiaole Xu,et al.  An approach to the analysis and detection of crosstalk faults in digital VLSI circuits , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[582]  Katarzyna Radecka,et al.  Arithmetic transforms for verifying compositions of sequential datapaths , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[583]  Massoud Pedram,et al.  Timing-driven placement based on partitioning with dynamic cut-net control , 2000, DAC.

[584]  Patrick Cousot,et al.  Abstract interpretation: a unified lattice model for static analysis of programs by construction or approximation of fixpoints , 1977, POPL.

[585]  Hao Ji,et al.  KSim: a stable and efficient RKC simulator for capturing on-chip inductance effect , 2001, ASP-DAC '01.

[586]  Louise Trevillyan,et al.  Logic Synthesis Through Local Transformations , 1981, IBM J. Res. Dev..

[587]  Yuval Tamir,et al.  Symmetric Crossbar Arbiters for VLSI Communication Switches , 1993, IEEE Trans. Parallel Distributed Syst..

[588]  Jürgen Koehl,et al.  An analytic net weighting approach for performance optimization in circuit placement , 1991, 28th ACM/IEEE Design Automation Conference.

[589]  Peter Y. K. Cheung,et al.  Performance-area trade-off of address generators for address decoder-decoupled memory , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[590]  Christos A. Papachristou,et al.  A design scheme for PLA-based control tables with reduced area and time-delay cost , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[591]  B. Anderson A SYSTEM THEORY CRITERION FOR POSITIVE REAL MATRICES , 1967 .

[592]  H. Sawada,et al.  Logic synthesis for look-up table based FPGAs using functional decomposition and support minimization , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[593]  Luciano Lavagno,et al.  Concurrent execution semantics and sequential simulation algorithms for the Metropolis meta-model , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[594]  K.M. Carrig,et al.  A new direction in ASIC high-performance clock methodology , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[595]  D. F. Wong,et al.  Simultaneous Functional-unit Binding And Floorplanning , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[596]  Petru Eles,et al.  System Level Hardware/Software Partitioning Based on Simulated Annealing and Tabu Search , 1997, Des. Autom. Embed. Syst..

[597]  H. A. Wheeler Formulas for the Skin Effect , 1942, Proceedings of the IRE.

[598]  R. Allmon,et al.  High-performance microprocessor design , 1998, IEEE J. Solid State Circuits.

[599]  Randal E. Bryant,et al.  Formal verification of a superscalar execution unit , 1997, DAC.

[600]  Jason Cong,et al.  Performance optimization of VLSI interconnect layout , 1996, Integr..

[601]  Rajendran Panda,et al.  On-chip inductance modeling and analysis , 2000, Proceedings 37th Design Automation Conference.

[602]  Stephen P. Boyd,et al.  Semidefinite Programming , 1996, SIAM Rev..

[603]  Norman P. Jouppi,et al.  Timing Analysis and Performance Improvement of MOS VLSI Designs , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[604]  Taewhan Kim,et al.  Bus optimization for low-power data path synthesis based on network flow method , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[605]  Tomás Lang,et al.  Working-zone encoding for reducing the energy in microprocessor address buses , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[606]  K. Roy,et al.  Power sensitivity—a new method to estimate power dissipation considering uncertain specifications of primary inputs , 1997, ICCAD 1997.

[607]  M. Steyaert,et al.  A fully-integrated GPS receiver front-end with 40 mW power consumption , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[608]  Sanjeev Khanna,et al.  Complexity classifications of Boolean constraint satisfaction problems , 2001, SIAM monographs on discrete mathematics and applications.

[609]  Taewhan Kim,et al.  An integrated data path optimization for low power based on network flow method , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[610]  Jason Cong,et al.  SPFD-based global rewiring , 2002, FPGA '02.

[611]  Sharad Malik,et al.  Chaff: engineering an efficient SAT solver , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[612]  Yuri Gurevich,et al.  Trees, automata, and games , 1982, STOC '82.

[613]  Ketan Mayer-Patel,et al.  Performance of a software MPEG video decoder , 1993, MULTIMEDIA '93.

[614]  Giovanni De Micheli,et al.  Hardware-software cosynthesis for digital systems , 1993, IEEE Design & Test of Computers.

[615]  Aristos Christou,et al.  Failure mechanism models for electromigration , 1994 .

[616]  M. Bohr Interconnect scaling-the real limiter to high performance ULSI , 1995, Proceedings of International Electron Devices Meeting.

[617]  Johan Pouwelse,et al.  Dynamic voltage scaling on a low-power microprocessor , 2001, MobiCom '01.

[618]  Mahmut T. Kandemir,et al.  EAC: a compiler framework for high-level energy estimation and optimization , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[619]  Janusz Rajski,et al.  Test Data Decompression for Multiple Scan Designs with Boundary Scan , 1998, IEEE Trans. Computers.

[620]  Seung Ho Hwang,et al.  An accuration delay modeling technique for switch-level timing verification , 1986, DAC 1986.

[621]  Catherine Dehollain,et al.  Low-noise power supply technique for RF amplifiers , 2001 .

[622]  A. M. Rincon,et al.  The changing landscape of system-on-a-chip design , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[623]  Wolfgang Fichtner,et al.  Globally-asynchronous locally-synchronous architectures to simplify the design of on-chip systems , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[624]  Alberto L. Sangiovanni-Vincentelli,et al.  A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[625]  G. Whitesides,et al.  Unconventional Methods for Fabricating and Patterning Nanostructures. , 1999, Chemical reviews.

[626]  Radu Marculescu,et al.  Probabilistic modeling of dependencies during switching activity analysis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[627]  Aristides Efthymiou,et al.  Pipelined memory shared buffer for VLSI switches , 1995, SIGCOMM '95.

[628]  David Blaauw,et al.  ClariNet: a noise analysis tool for deep submicron design , 2000, Proceedings 37th Design Automation Conference.

[629]  Takashi Ishikawa,et al.  Design methodology of ultra low-power MPEG4 codec core exploiting voltage scaling techniques , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[630]  Barton P. Miller,et al.  The Paradyn Parallel Performance Measurement Tool , 1995, Computer.

[631]  Yao-Wen Chang,et al.  Timing-driven routing for symmetrical array-based FPGAs , 2000, TODE.

[632]  Carl-Johan H. Seger,et al.  CLEVER: Divide and Conquer Combinational Logic Equivalence VERification with False Negative Elimination , 2001, CAV.

[633]  Lennart Augustsson,et al.  Cayenne—a language with dependent types , 1998, ICFP '98.

[634]  A. Ruehli Equivalent Circuit Models for Three-Dimensional Multiconductor Systems , 1974 .

[635]  C. Y. Roger Chen,et al.  Timing driven placement using physical net constraints , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[636]  B. Honig,et al.  A rapid finite difference algorithm, utilizing successive over‐relaxation to solve the Poisson–Boltzmann equation , 1991 .

[637]  Sujit Dey,et al.  DEFUSE: a deterministic functional self-test methodology for processors , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[638]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[639]  Qiang Peng,et al.  MUST: multiple-stem analysis for identifying sequentially untestable faults , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[640]  Jacob K. White,et al.  Improving the robustness of a surface integral formulation for wideband impedance extraction of 3D structures , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[641]  Csaba Andras Moritz,et al.  Parallelizing applications into silicon , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

[642]  Ulrich Brenner,et al.  An effective congestion driven placement framework , 2002, ISPD '02.

[643]  Kwang-Ting Cheng,et al.  Combinational and sequential logic optimization by redundancy addition and removal , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[644]  E. Ngoya,et al.  Envelop transient analysis: a new method for the transient and steady state analysis of microwave communication circuits and systems , 1996, 1996 IEEE MTT-S International Microwave Symposium Digest.

[645]  Jaijeet S. Roychowdhury,et al.  Multi-time simulation of voltage-controlled oscillators , 1999, DAC '99.

[646]  Darko Kirovski,et al.  Procedure Based Program Compression , 2004, International Journal of Parallel Programming.

[647]  Robert K. Brayton,et al.  Simplification of non-deterministic multi-valued networks , 2002, IWLS.

[648]  Enrico Macii,et al.  Stream synthesis for efficient power simulation based on spectral transforms , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[649]  Yinyu Ye,et al.  An infeasible interior-point algorithm for solving primal and dual geometric programs , 1997, Math. Program..

[650]  Hai Zhou,et al.  Global routing with crosstalk constraints , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[651]  M. Reed,et al.  Nanoscale metal/self-assembled monolayer/metal heterostructures , 1997 .

[652]  Paolo Crippa,et al.  A statistical methodology for the design of high-performance CMOScurrent-steering digital-to-analog converters , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[653]  Thomas D. Burd,et al.  The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[654]  Patrick Lysaght,et al.  Dynamically Reconfigurable Cores , 2001, FPL.

[655]  Luca Benini,et al.  Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems , 1997, Proceedings Great Lakes Symposium on VLSI.

[656]  Inês Lynce,et al.  Stochastic Systematic Search Algorithms for Satisfiability , 2001, Electron. Notes Discret. Math..

[657]  P. Coppejans,et al.  A quadrature direct digital downconverter , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[658]  Martin D. F. Wong,et al.  FAST-SP: a fast algorithm for block placement based on sequence pair , 2001, ASP-DAC '01.

[659]  David Blaauw,et al.  Inductance 101: analysis and design issues , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[660]  Ping Yang,et al.  A Monte Carlo approach for power estimation , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[661]  Sonya Gary Low-Power Microprocessor Design , 1996 .

[662]  Michael Burstein,et al.  Timing Influenced Layout Design , 1985, DAC 1985.

[663]  J. Ramanujam,et al.  Tiling Multidimensional Itertion Spaces for Multicomputers , 1992, J. Parallel Distributed Comput..

[664]  Randal E. Bryant,et al.  Formal Verification of Digital Circuits Using Symbolic Ternary System Models , 1990, CAV.

[665]  Robert K. Brayton Compatible observability don't cares revisited , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[666]  Luca Benini,et al.  Extending lifetime of portable systems by battery scheduling , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[667]  Alper Demir,et al.  Modeling and simulation of the interference due to digital switching in mixed-signal ICs , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[668]  Bernard Courtois,et al.  Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers , 1995, IEEE Trans. Computers.

[669]  Chuan Yi Tang,et al.  A 2.|E|-Bit Distributed Algorithm for the Directed Euler Trail Problem , 1993, Inf. Process. Lett..

[670]  Michel S. Nakhla,et al.  Analysis of interconnect networks using complex frequency hopping (CFH) , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[671]  Katarzyna Radecka,et al.  Using arithmetic transform for verification of datapath circuits via error modeling , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[672]  B. Martin Electronic design automation [1999 technology analysis and forecast] , 1999 .

[673]  Andreas C. Cangellaris,et al.  PRIME: passive realization of interconnect models from measured data , 2001, IEEE 10th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No. 01TH8565).

[674]  Yangyuan Wang,et al.  Numerical calculation of electromigration under pulse current with Joule heating , 1999 .

[675]  Andrea Pacelli,et al.  Generation of equivalent circuits from physics-based devicesimulation , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[676]  Mary Jane Irwin,et al.  A Fast and Simple Steiner Routing Heuristic , 1999, Discret. Appl. Math..

[677]  Charles M. Lieber,et al.  A laser ablation method for the synthesis of crystalline semiconductor nanowires , 1998, Science.

[678]  C. L. Berman,et al.  Functional comparison of logic designs for VLSI circuits , 1989, ICCAD 1989.

[679]  Lawrence T. Pileggi,et al.  An explicit RC-circuit delay approximation based on the first three moments of the impulse response , 1996, 33rd Design Automation Conference Proceedings, 1996.

[680]  M. Allen,et al.  A planar micromachined spiral inductor for integrated magnetic microactuator applications , 1993 .

[681]  G. S. Tseitin On the Complexity of Derivation in Propositional Calculus , 1983 .

[682]  Bruce A. Draper,et al.  Mapping a Single Assignment Programming Language to Reconfigurable Systems , 2002, The Journal of Supercomputing.

[683]  Rohit Kapur,et al.  DFT closure , 2000, Proceedings of the Ninth Asian Test Symposium.

[684]  Y. B. Dhong,et al.  High speed CMOS POS PLA using predischarged OR array and charge sharing AND array , 1992 .

[685]  Shin'ichi Wakabayashi,et al.  Timing-driven hierarchical global routing with wire-sizing and buffer-insertion for VLSI with multi-routing-layer , 2000, ASP-DAC '00.

[686]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.

[687]  Jan M. Rabaey,et al.  An energy conscious methodology for early design exploration of heterogeneous DSPs , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[688]  R. Pelavin,et al.  Hierarchical channel router , 1988, 25 years of DAC.

[689]  Louis Weinberg,et al.  Network Analysis and Synthesis , 1962 .

[690]  F M Richards,et al.  Areas, volumes, packing and protein structure. , 1977, Annual review of biophysics and bioengineering.

[691]  Eduard Cerny,et al.  Tautology checking using cross-controllability and cross-observability relations , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[692]  Richard B. Brown,et al.  Congestion driven quadratic placement , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[693]  Lawrence T. Pileggi,et al.  Inductance 101: modeling and extraction , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[694]  Peter Robinson,et al.  Self calibrating clocks for globally asynchronous locally synchronous systems , 2000, Proceedings 2000 International Conference on Computer Design.

[695]  Vinod Narayanan,et al.  Static timing analysis for self resetting circuits , 1996, ICCAD 1996.

[696]  Kurt Antreich,et al.  IGRAINE-an Implication GRaph-bAsed engINE for fast implication, justification, and propagation , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[697]  C. L. Liu,et al.  Minimum crosstalk channel routing , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[698]  Takayasu Sakurai,et al.  Optimization of V/sub DD/ and V/sub TH/ for low-power and high-speed applications , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[699]  Josef Stoer,et al.  Numerische Mathematik 1 , 1989 .

[700]  Charvaka Duvvury,et al.  Trends for deep submicron VLSI and their implications for reliability , 1995, Proceedings of 1995 IEEE International Reliability Physics Symposium.

[701]  Eugene L. Lawler,et al.  An Approach to Multilevel Boolean Minimization , 1964, JACM.

[702]  K. Ebcioglu,et al.  Daisy: Dynamic Compilation For 10o?40 Architectural Compatibility , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[703]  Sudhakar M. Reddy,et al.  On path selection in combinational logic circuits , 1988, DAC '88.

[704]  Robert K. Brayton,et al.  Timed Boolean functions - a unified formalism for exact timing analysis , 1994, The Kluwer international series in engineering and computer science.

[705]  Dawson R. Engler,et al.  VCODE: a retargetable, extensible, very fast dynamic code generation system , 1996, PLDI '96.

[706]  Jacob K. White,et al.  A multiscale method for fast capacitance extraction , 1999, DAC '99.

[707]  Carl Ebeling,et al.  RaPiD - Reconfigurable Pipelined Datapath , 1996, FPL.

[708]  Gerald E. Sobelman,et al.  FPGA-based FIR filters using digit-serial arithmetic , 1997, Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334).

[709]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[710]  Wolfgang Kunz,et al.  HANNIBAL: An efficient tool for logic verification based on recursive learning , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[711]  Lawrence T. Pileggi,et al.  SPIE: sparse partial inductance extraction , 1997, DAC.

[712]  Rolf Ernst,et al.  Codesign of Embedded Systems: Status and Trends , 1998, IEEE Des. Test Comput..

[713]  Randal E. Bryant Extraction of gate level models from transistor circuits by four-valued symbolic analysis , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[714]  Yosinori Watanabe,et al.  Logic decomposition during technology mapping , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[715]  Jinsong Zhao,et al.  Green function via moment matching for rapid and accurate substrate parasitics evaluation , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[716]  Michael Bershteyn Calculation of multiple sets of weights for weighted random testing , 1993, Proceedings of IEEE International Test Conference - (ITC).

[717]  Hal Wasserman,et al.  Comparing algorithm for dynamic speed-setting of a low-power CPU , 1995, MobiCom '95.

[718]  Stephen Dean Brown,et al.  Integrated retiming and placement for field programmable gate arrays , 2002, FPGA '02.

[719]  Mark C. Johnson,et al.  Leakage control with efficient use of transistor stacks in single threshold CMOS , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[720]  Kwang-Ting Cheng On removing redundancy in sequential circuits , 1991, 28th ACM/IEEE Design Automation Conference.

[721]  H. Momose,et al.  A 60 mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[722]  M. Ray Mercer,et al.  A Topological Search Algorithm for ATPG , 1987, 24th ACM/IEEE Design Automation Conference.

[723]  Paul S. Zuchowski,et al.  A hybrid ASIC and FPGA architecture , 2002, ICCAD 2002.

[724]  Herman Schmit,et al.  Synthesis of application-specific memory designs , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[725]  Ali Hajimiri,et al.  A general theory of phase noise in electrical oscillators , 1998 .

[726]  Reinaldo A. Bergamaschi,et al.  Designing systems-on-chip using cores , 2000, DAC.

[727]  Marios C. Papaefthymiou,et al.  Analytical macromodeling for high-level power estimation , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[728]  Ilan Beer,et al.  RuleBase: Model Checking at IBM , 1997, CAV.

[729]  John Wawrzynek,et al.  Hardware-assisted fast routing , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

[730]  Michael L. Scott,et al.  Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[731]  Rajendran Panda,et al.  Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing , 1999, DAC '99.

[732]  Ping Yang,et al.  An Integrated and Efficient Approach for MOS VLSI Statistical Circuit Design , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[733]  Tommaso Toffoli,et al.  Reversible Computing , 1980, ICALP.

[734]  Kaushik Roy,et al.  Technology scaling behavior of optimum reverse body bias for standby leakage power reduction in CMOS IC's , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[735]  William H. Press,et al.  Numerical recipes in C , 2002 .

[736]  Albert E. Ruehli,et al.  The modified nodal approach to network analysis , 1975 .

[737]  Alex Orailoglu,et al.  Test volume and application time reduction through scan chain concealment , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[738]  Hiroshi Sawada,et al.  A new method to express functional permissibilities for LUT based FPGAs and its applications , 1996, ICCAD 1996.

[739]  Takayasu Sakurai,et al.  Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[740]  Habib Youssef,et al.  Bounds on net delays for vlsi circuits , 1992 .

[741]  D. L. Crook Evolution of VLSI reliability engineering , 1990 .

[742]  Edward J. Nowak,et al.  Maintaining the benefits of CMOS scaling when scaling bogs down , 2002, IBM J. Res. Dev..

[743]  L. Daniel,et al.  Interconnect electromagnetic modeling using conduction modes as global basis functions , 2000, IEEE 9th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.00TH8524).

[744]  Yoji Kajitani,et al.  VLSI module placement based on rectangle-packing by the sequence-pair , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[745]  Naveed A. Sherwani,et al.  Algorithms for VLSI Physical Design Automation , 1999, Springer US.

[746]  Oliver Brand,et al.  Fully integrated magnetically actuated micromachined relays , 1998 .

[747]  Jason Cong,et al.  An efficient approach to multilayer layer assignment with anapplication to via minimization , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[748]  Chung-Kuan Cheng,et al.  New performance driven routing techniques with explicit area/delay tradeoff and simultaneous wire sizing , 1996, DAC '96.

[749]  A. Chandrakasan,et al.  MTCMOS sequential circuits , 2001, Proceedings of the 27th European Solid-State Circuits Conference.

[750]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[751]  Niraj K. Jha,et al.  SCALP: an iterative-improvement-based low-power data path synthesis system , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[752]  Kaushik Roy,et al.  On-chip interconnect modeling by wire duplication , 2002, ICCAD 2002.

[753]  Andrew V. Goldberg,et al.  Cut Tree Algorithms: An Experimental Study , 2001, J. Algorithms.

[754]  Edward A. Lee,et al.  A global criticality/local phase driven algorithm for the constrained hardware/software partitioning problem , 1994, Third International Workshop on Hardware/Software Codesign.

[755]  A. Demir,et al.  Phase noise in oscillators: a unifying theory and numerical methods for characterization , 2000 .

[756]  Massoud Pedram,et al.  Stochastic modeling of a power-managed system-construction andoptimization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[757]  Barry M. Pangrle,et al.  A grid-based approach for connectivity binding with geometric costs , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[758]  Claudio Moraga,et al.  Information theory method for flexible network synthesis , 2001, Proceedings 31st IEEE International Symposium on Multiple-Valued Logic.

[759]  J. Tschanz,et al.  Design optimizations of a high performance microprocessor using combinations of dual-V/sub T/ allocation and transistor sizing , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[760]  Davide Pandini,et al.  Congestion-aware logic synthesis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[761]  Patrick Girard,et al.  On using machine learning for logic BIST , 1997, Proceedings International Test Conference 1997.

[762]  Larry L. Peterson,et al.  Predicting MPEG execution times , 1998, SIGMETRICS '98/PERFORMANCE '98.

[763]  Arvind Srinivasan,et al.  RITUAL: a performance driven placement algorithm for small cell ICs , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[764]  Niraj K. Jha,et al.  An ILP formulation for low power based on minimizing switched capacitance during data path allocation , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[765]  H. Meyr,et al.  Power reduction for ASIPS: a case study , 2001, 2001 IEEE Workshop on Signal Processing Systems. SiPS 2001. Design and Implementation (Cat. No.01TH8578).

[766]  Andreas C. Cangellaris,et al.  A new technique for the derivation of closed-form electromagnetic Green's functions for unbounded planar layered media , 2002 .

[767]  Roger F. Harrington,et al.  Field computation by moment methods , 1968 .

[768]  Bruce Tidor,et al.  Electrostatic specificity in molecular ligand design , 2000 .

[769]  B. Ramakrishna Rau,et al.  Automatic architectural synthesis of VLIW and EPIC processors , 1999, Proceedings 12th International Symposium on System Synthesis.

[770]  K. L. Shepard,et al.  Noise in deep submicron digital design , 1996, ICCAD 1996.

[771]  Alberto L. Sangiovanni-Vincentelli,et al.  Using conduction modes basis functions for efficient electromagnetic anaysis of on-chip and off-chip interconnect , 2001, DAC '01.

[772]  Kenneth L. Shepard,et al.  Harmony: static noise analysis of deep submicron digital integrated circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[773]  Srilatha Manne,et al.  Power and performance tradeoffs using various caching strategies , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[774]  Tsutomu Sasao A cascade realization of multiple-output function for reconfigurable hardware , 2001 .

[775]  Hans-Joachim Wunderlich,et al.  Accumulator based deterministic BIST , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[776]  Anantha Chandrakasan,et al.  Scaling of stack effect and its application for leakage reduction , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

[777]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[778]  Keshab K. Parhi,et al.  Low power synthesis of dual threshold voltage CMOS VLSI circuits , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[779]  A. O. Adan,et al.  OFF-State leakage current mechanisms in bulkSi and SOI MOSFETs and their impact on CMOS ULSIs standby current , 2001 .

[780]  David E. Long,et al.  Identifying sequential redundancies without search , 1996, DAC '96.

[781]  Donald E. Knuth,et al.  The art of computer programming. Vol.2: Seminumerical algorithms , 1981 .

[782]  William E. Donath,et al.  Placement and average interconnection lengths of computer logic , 1979 .

[783]  Lawrence T. Pileggi,et al.  Hierarchical interconnect circuit models , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[784]  B. Anderson,et al.  A new test for strict positive realness , 1995 .

[785]  Yu Cao,et al.  Characterization of interconnect coupling noise using in-situ delay-change curve measurements , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

[786]  Nancy A. Lynch,et al.  Hierarchical correctness proofs for distributed algorithms , 1987, PODC '87.

[787]  Alberto Sangiovanni-Vincentelli,et al.  Digital sensitivity: predicting signal interaction using functional analysis , 1996, ICCAD 1996.

[788]  In-Ho Moon,et al.  Simplifying Circuits for Formal Verification Using Parametric Representation , 2002, FMCAD.

[789]  Massoud Pedram,et al.  Stratified random sampling for power estimation , 1996, Proceedings of International Conference on Computer Aided Design.

[790]  Keshab K. Parhi,et al.  High-performance digit-serial complex-number multiplier-accumulator , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[791]  Vaughn Betz,et al.  Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density , 1999, FPGA '99.

[792]  Sarma B. K. Vrudhula,et al.  Time-to-failure estimation for batteries in portable electronic systems , 2001, ISLPED '01.

[793]  M. Doyle,et al.  Modeling of Galvanostatic Charge and Discharge of the Lithium/Polymer/Insertion Cell , 1993 .

[794]  Scott Davidson,et al.  ITC'99 Benchmark Circuits - Preliminary Results , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[795]  A. Hajimiri,et al.  The Design of Low Noise Oscillators , 1999 .

[796]  K. Toyama,et al.  Design rule for frequency-voltage cooperative power control and its application to an MPEG-4 decoder , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[797]  Mark C. Johnson,et al.  Optimal selection of supply voltages and level conversions during data path scheduling under resource constraints , 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

[798]  Markus Mock,et al.  A retrospective on: "an evaluation of staged run-time optimizations in DyC" , 2004, SIGP.

[799]  Bruce A. Wooley,et al.  A Two's Complement Parallel Array Multiplication Algorithm , 1973, IEEE Transactions on Computers.

[800]  Junfeng Wang,et al.  A wide frequency range surface integral formulation for 3-D RLC extraction , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[801]  Robert K. Brayton,et al.  Cross-talk immune VLSI design using a network of PLAs embedded in a regular layout fabric , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[802]  Rob A. Rutenbar,et al.  MAELSTROM: efficient simulation-based synthesis for custom analog cells , 1999, DAC '99.

[803]  Shianling Wu,et al.  A Sequential Circuit Test Generation System , 1985, ITC.

[804]  Ravi Nair,et al.  Generation of performance constraints for layout , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[805]  Sharad Malik,et al.  Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver , 2002, DAC '02.

[806]  Sharad Malik,et al.  Efficient conflict driven learning in a Boolean satisfiability solver , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[807]  Clarence Zener,et al.  Geometric Programming : Theory and Application , 1967 .

[808]  Lee Whetsel,et al.  Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[809]  Donald E. Thomas,et al.  Synthesis of Pipelined Instruction Set Processors , 1993, 30th ACM/IEEE Design Automation Conference.

[810]  Kiyoung Choi,et al.  Power conscious fixed priority scheduling for hard real-time systems , 1999, DAC '99.

[811]  Luca Cardelli,et al.  Types for mobile ambients , 1999, POPL '99.

[812]  Shen Lin,et al.  Quick on-chip self- and mutual-inductance screen , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[813]  Randal E. Bryant,et al.  Synchronous circuit verification by symbolic simulation: an illustration , 1990 .

[814]  Robert A. Proctor,et al.  Design methodology for IBM ASIC products , 1996, IBM J. Res. Dev..

[815]  Shankar Krishnamoorthy,et al.  Estimating routing congestion using probabilistic analysis , 2001, ISPD '01.

[816]  R. Landauer Spatial variation of currents and fields due to localized scatterers in metallic conduction , 1988 .

[817]  H. De Man,et al.  Global communication and memory optimizing transformations for low power signal processing systems , 1994, Proceedings of 1994 IEEE Workshop on VLSI Signal Processing.

[818]  B. M. Gordon,et al.  Supply and threshold voltage scaling for low power CMOS , 1997, IEEE J. Solid State Circuits.

[819]  Charlie Chung-Ping Chen,et al.  Noise-aware repeater insertion and wire-sizing for on-chip interconnect using hierarchical moment-matching , 1999, DAC '99.

[820]  G. De Micheli,et al.  Crosstalk delay analysis using relative window method , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[821]  Trevor Mudge,et al.  The impact of signal transition time on path delay computation , 1993 .

[822]  Keith A. Jenkins,et al.  Integrated RF and microwave components in BiCMOS technology , 1996 .

[823]  Giovanni Chiola,et al.  On the Efficient Construction of the Tangible Reachability Graph of Generalized Stochastic Petri Nets , 1987, PNPM.

[824]  Albert E. Ruehli,et al.  Enhanced skin effect for partial-element equivalent-circuit (PEEC) models , 2000 .

[825]  Martin D. F. Wong,et al.  Maze routing with buffer insertion and wiresizing , 2000, DAC.

[826]  Kunihiro Asada,et al.  An architectural level energy reduction technique for deep-submicron cache memories , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[827]  Joao Marques-Silva,et al.  Combinational equivalence checking using satisfiability and recursive learning , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[828]  B. Martin,et al.  DNA‐Directed Assembly of Gold Nanowires on Complementary Surfaces , 2001 .

[829]  Takashi Morie,et al.  Physical design guides for substrate noise reduction in CMOS digital circuits , 2001 .

[830]  G. De Micheli,et al.  Modeling hierarchical combinational circuits , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[831]  Maciej J. Ciesielski,et al.  Layer assignment for VLSI interconnect delay minimization , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[832]  Ashish Goel,et al.  Stochastic load balancing and related problems , 1999, 40th Annual Symposium on Foundations of Computer Science (Cat. No.99CB37039).

[833]  Ping Yang,et al.  SPIDER -- A CAD System for Modeling VLSI Metallization Patterns , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[834]  S. Katkoori,et al.  Profile-driven behavioral synthesis for low-power VLSI systems , 1995, IEEE Design & Test of Computers.

[835]  L. Petzold An Efficient Numerical Method for Highly Oscillatory Ordinary Differential Equations , 1978 .

[836]  Andreas C. Cangellaris New methodology for the direct generation of closed-form electrostatic Green's functions in layered dielectrics , 2000 .

[837]  A. Boni,et al.  Band-gap references for near 1-V operation in standard CMOS technology , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[838]  Farid N. Najm,et al.  Power macromodeling for high level power estimation , 1997, DAC.

[839]  Wayne Luk,et al.  Compilation tools for run-time reconfigurable designs , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[840]  Carlo Guardiani,et al.  Power invariant vector compaction based on bit clustering and temporal partitioning , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[841]  Thomas Lengauer,et al.  The efficient solution of integer programs for hierarchical global routing , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[842]  Kenneth L. Shepard,et al.  Full-chip, three-dimensional, shapes-based RLC extraction , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[843]  Michael S. Hsiao,et al.  Efficient spectral techniques for sequential ATPG , 2001, DATE '01.

[844]  Jason Cong,et al.  Multilevel approach to full-chip gridless routing , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[845]  J. R. Büchi,et al.  Solving sequential conditions by finite-state strategies , 1969 .

[846]  Lech Józwiak,et al.  Information relationships and measures in application to logic design , 1999, Proceedings 1999 29th IEEE International Symposium on Multiple-Valued Logic (Cat. No.99CB36329).

[847]  Xinli Gu,et al.  A new approach to scan chain reordering using physical design information , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[848]  Armando Tacchella,et al.  QUBE: A System for Deciding Quantified Boolean Formulas Satisfiability , 2001, IJCAR.

[849]  Kang G. Shin,et al.  Execution Time Analysis of Communicating Tasks in Distributed Systems , 1996, IEEE Trans. Computers.

[850]  Michiel Steyaert,et al.  Optimal RF design using smart evolutionary algorithms , 2000, Proceedings 37th Design Automation Conference.

[851]  Dhiraj K. Pradhan,et al.  Recursive Learning: An attractive alternative to the decision tree for test generation in digital ci , 1992, Proceedings International Test Conference 1992.

[852]  Giovanni De Micheli,et al.  Symbolic algebra and timing driven data-flow synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[853]  James D. Meindl,et al.  Is interconnect the weak link , 1998 .

[854]  Kenneth L. Shepard,et al.  Cell characterization for noise stability , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[855]  Robert K. Brayton,et al.  Logic Minimization Algorithms for VLSI Synthesis , 1984, The Kluwer International Series in Engineering and Computer Science.

[856]  Tianxiong Xue,et al.  Post routing performance optimization via multi-link insertion and non-uniform wiresizing , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[857]  Wayne Luk,et al.  Heuristic datapath allocation for multiple wordlength systems , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[858]  Luis Entrena,et al.  Timing optimization by an improved redundancy addition and removal technique , 1996, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition.

[859]  Charles M. Lieber,et al.  Doping and Electrical Transport in Silicon Nanowires , 2000 .

[860]  Adhemar Bultheel,et al.  Rational approximation in linear systems and control , 2000 .

[861]  Karem A. Sakallah,et al.  M32: a constructive multilevel logic synthesis system , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[862]  Jörg Henkel,et al.  Hardware-software cosynthesis for microcontrollers , 1993, IEEE Design & Test of Computers.

[863]  Charles R. Sullivan,et al.  Design of microfabricated transformers and inductors for high-frequency power conversion , 1996 .

[864]  Prithviraj Banerjee,et al.  Simultaneous scheduling, binding and floorplanning in high-level synthesis , 1998, Proceedings Eleventh International Conference on VLSI Design.

[865]  Seongsoo Lee,et al.  Run-time power control scheme using software feedback loop for low-power real-time application , 2000, ASP-DAC '00.

[866]  Shih-Chieh Chang,et al.  Logic Synthesis for Engineering Change , 1999, 32nd Design Automation Conference.

[867]  Frederic T. Chong,et al.  Active pages: a computation model for intelligent memory , 1998, ISCA.

[868]  Robert K. Brayton,et al.  Reduced offsets for two-level multi-valued logic minimization , 1991, DAC '90.

[869]  Kenneth L. McMillan,et al.  A Conjunctively Decomposed Boolean Representation for Symbolic Model Checking , 1996, CAV.

[870]  Mikkel Thorup,et al.  Dominators in Linear Time , 1999, SIAM J. Comput..

[871]  Wayne Luk,et al.  Modelling and optimising run-time reconfigurable systems , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[872]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluation using AWE , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[873]  Hendrikus J. M. Veendrick,et al.  Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .

[874]  Y. Saad,et al.  GMRES: a generalized minimal residual algorithm for solving nonsymmetric linear systems , 1986 .

[875]  Mani B. Srivastava,et al.  Predictive system shutdown and other architectural techniques for energy efficient programmable computation , 1996, IEEE Trans. Very Large Scale Integr. Syst..

[876]  Luciano Lavagno,et al.  Formal Models for Communication-Based Design , 2000, CONCUR.

[877]  Ronald A. Rohrer,et al.  Delay evaluation with lumped linear RLC interconnect circuit models , 1989 .

[878]  Albert E. Ruehli,et al.  Inductance calculations in a complex integrated circuit environment , 1972 .

[879]  R. Pintelon,et al.  On the use of orthogonal polynomials in high order frequency domain system identification and its application to modal parameter estimation , 1994, Proceedings of 1994 33rd IEEE Conference on Decision and Control.

[880]  Richard M. Karp,et al.  Minimization Over Boolean Graphs , 1962, IBM J. Res. Dev..

[881]  Alper Demir,et al.  CAD for RF circuits , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[882]  Anantha Chandrakasan,et al.  MTCMOS hierarchical sizing based on mutual exclusive discharge patterns , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[883]  Hugo De Man,et al.  Global Communication and Memory Optimizing Transformations for Low Power Systems , 1994 .

[884]  Luca Benini,et al.  Policy optimization for dynamic power management , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[885]  Hans C. Pfeiffer,et al.  PREVAIL-Electron projection technology approach for next-generation lithography , 2001, IBM J. Res. Dev..

[886]  Donald W. Loveland,et al.  A machine program for theorem-proving , 2011, CACM.

[887]  Hiroto Yasuura,et al.  Real-time task scheduling for a variable voltage processor , 1999, Proceedings 12th International Symposium on System Synthesis.

[888]  Dean P. Neikirk,et al.  Interconnect series impedance determination using a surface ribbon method , 1994, Proceedings of 1994 IEEE Electrical Performance of Electronic Packaging.

[889]  Christian Veith,et al.  PSCP: A scalable parallel ASIP architecture for reactive systems , 1998, Proceedings Design, Automation and Test in Europe.

[890]  Noah Treuhaft,et al.  Scalable Processors in the Billion-Transistor Era: IRAM , 1997, Computer.

[891]  Manuel Blum,et al.  A Simple Unpredictable Pseudo-Random Number Generator , 1986, SIAM J. Comput..

[892]  Lama H. Chandrasena,et al.  An energy efficient rate selection algorithm for voltage quantized dynamic voltage scaling , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[893]  Andrew T. Yang,et al.  Integrated circuit substrate coupling models based on Voronoi tessellation , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[894]  Yervant Zorian,et al.  Introducing Core-Based System Design , 1997, IEEE Des. Test Comput..

[895]  Dhiraj K. Pradhan,et al.  LOT: Logic Optimization with Testability. New transformations for logic synthesis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[896]  Kenneth L. Shepard,et al.  Conquering Noise in Deep-Submicron Digital ICs , 1998, IEEE Des. Test Comput..

[897]  Yoji Kajitani,et al.  Module packing based on the BSG-structure and IC layout applications , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[898]  P. Barth A Davis-Putnam based enumeration algorithm for linear pseudo-Boolean optimization , 1995 .

[899]  R. Fisher THE STATISTICAL UTILIZATION OF MULTIPLE MEASUREMENTS , 1938 .

[900]  Luca Benini,et al.  A discrete-time battery model for high-level power estimation , 2000, DATE '00.

[901]  Charlie Chung-Ping Chen,et al.  Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative methods , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[902]  Roberto J. Bayardo,et al.  Using CSP Look-Back Techniques to Solve Real-World SAT Instances , 1997, AAAI/IAAI.

[903]  Seongsoo Lee,et al.  Run-time voltage hopping for low-power real-time systems , 2000, DAC.

[904]  Pravin M. Vaidya,et al.  A performance driven macro-cell placement algorithm , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[905]  Irith Pomeranz,et al.  REDI: an efficient fault oriented procedure to identify redundant faults in combinational logic circuits , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[906]  Takayasu Sakurai,et al.  Coupling-driven bus design for low-power application-specific systems , 2001, DAC '01.

[907]  K. Sharp,et al.  Electrostatic interactions in macromolecules: theory and applications. , 1990, Annual review of biophysics and biophysical chemistry.

[908]  Willy Sansen,et al.  Analog Circuit Design Optimization based on Symbolic Simulation and Simulated Annealing , 1989, ESSCIRC '89: Proceedings of the 15th European Solid-State Circuits Conference.

[909]  Jason Cong,et al.  Interconnect performance estimation models for design planning , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[910]  C. Y. Lee An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..

[911]  Nikil D. Dutt,et al.  Architectural exploration and optimization of local memory in embedded systems , 1997, Proceedings. Tenth International Symposium on System Synthesis (Cat. No.97TB100114).

[912]  Donald E. Thomas,et al.  Unifying behavioral synthesis and physical design , 2000, Proceedings 37th Design Automation Conference.

[913]  T. Christenson,et al.  A first functional current excited planar rotational magnetic micromotor , 1993, [1993] Proceedings IEEE Micro Electro Mechanical Systems.

[914]  J. Schoukens,et al.  Parametric identification of transfer functions in the frequency domain-a survey , 1994, IEEE Trans. Autom. Control..

[915]  J. Roychowdhury Analyzing circuits with widely separated time scales using numerical PDE methods , 2001 .

[916]  L. H. Goldstein,et al.  SCOAP: Sandia Controllability/Observability Analysis Program , 1988, 17th Design Automation Conference.

[917]  Alistair Sinclair,et al.  Algorithms for Random Generation and Counting: A Markov Chain Approach , 1993, Progress in Theoretical Computer Science.

[918]  Herman Schmit Incremental reconfiguration for pipelined applications , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[919]  Alvin M. Despain,et al.  Cache design trade-offs for power and performance optimization: a case study , 1995, ISLPED '95.

[920]  Anant Agarwal,et al.  Automatic Partitioning of Parallel Loops and Data Arrays for Distributed Shared-Memory Multiprocessors , 1995, IEEE Trans. Parallel Distributed Syst..

[921]  David Blaauw,et al.  Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.

[922]  B. Honig,et al.  Classical electrostatics in biology and chemistry. , 1995, Science.

[923]  Joel R. Phillips,et al.  Simulation approaches for strongly coupled interconnect systems , 2001, ICCAD 2001.

[924]  Rob A. Rutenbar,et al.  Addressing substrate coupling in mixed-mode ICs: simulation and power distribution synthesis , 1994, IEEE J. Solid State Circuits.

[925]  Vivek De,et al.  Low power and high performance design challenges in future technologies , 2000, ACM Great Lakes Symposium on VLSI.

[926]  Andrew B. Kahng,et al.  Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.

[927]  T. Smedes,et al.  A Hybrid Element Method For Calculation OfCapacitances From The Layout Of Integrated Circuits , 1970 .

[928]  H. Kyuragi,et al.  Ultralow-power CMOS/SOI LSI design for future mobile systems , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[929]  J. L. Noullet,et al.  Do we need so many cells for digital ASIC synthesis , 1999 .

[930]  Gabriele Saucier,et al.  A hierarchy-driven FPGA partitioning method , 1997, DAC.

[931]  Roland W. Freund,et al.  Reduced-order modeling of large passive linear circuits by means of the SYPVL algorithm , 1996, ICCAD 1996.

[932]  R.K. Brayton,et al.  Implementation and use of SPFDs in optimizing Boolean networks , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[933]  Roland W. Freund,et al.  Efficient linear circuit analysis by Pade´ approximation via the Lanczos process , 1994, EURO-DAC '94.

[934]  Qi Wang,et al.  Static power optimization of deep submicron CMOS circuits for dual V/sub T/ technology , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[935]  Ruth J. Williams,et al.  Diffusion approximations for open multiclass queueing networks: sufficient conditions involving state space collapse , 1998, Queueing Syst. Theory Appl..

[936]  Steven P. Levitan,et al.  A flexible datapath allocation method for architectural synthesis , 1999, TODE.

[937]  Dhiraj K. Pradhan,et al.  A design for testability scheme to reduce test application time in full scan , 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

[938]  Thomas R. Shiple,et al.  Building Circuits from Relations , 2000, CAV.

[939]  Bernhard M. Riess,et al.  SPEED: fast and efficient timing driven placement , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[940]  Chak-Kuen Wong,et al.  A powerful global router: based on Steiner min-max trees , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[941]  Hans Kleine Büning,et al.  Resolution for Quantified Boolean Formulas , 1995, Inf. Comput..

[942]  C. W. Gear,et al.  Numerical initial value problem~ in ordinary differential eqttations , 1971 .

[943]  Alberto L. Sangiovanni-Vincentelli,et al.  Automation of IC layout with analog constraints , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[944]  Hugo De Man,et al.  Power exploration for dynamic data types through virtual memory management refinement , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[945]  Luís Miguel Silveira,et al.  Passive constrained rational approximation algorithm using Nevanlinna-Pick interpolation , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[946]  Mario Paz,et al.  Structural Dynamics: Theory and Computation , 1981 .

[947]  J F Stoddart,et al.  Switching devices based on interlocked molecules. , 2001, Accounts of chemical research.

[948]  Charles R. Sullivan,et al.  Design of microfabricated inductors , 1996, PESC Record. 27th Annual IEEE Power Electronics Specialists Conference.

[949]  Erik Brockmeyer,et al.  Data and memory optimization techniques for embedded systems , 2001, TODE.

[950]  Georges Gielen,et al.  A performance-driven placement tool for analog integrated circuits , 1995 .

[951]  Sang Lyul Min,et al.  Dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[952]  Robert E. Tarjan,et al.  A fast algorithm for finding dominators in a flowgraph , 1979, TOPL.

[953]  Katarzyna Radecka,et al.  On feasible multivariate polynomial interpolations over arbitrary fields , 1999, ISSAC '99.

[954]  Andreas Kuehlmann,et al.  Equivalence checking combining a structural SAT-solver, BDDs, and simulation , 2000, Proceedings 2000 International Conference on Computer Design.

[955]  Rainer Leupers,et al.  Resistance Extraction Using a Routing Algorithm , 1993, 30th ACM/IEEE Design Automation Conference.

[956]  Kurt Keutzer,et al.  Towards true crosstalk noise analysis , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[957]  Joonyoung Kim,et al.  SATIRE: A new incremental satisfiability engine , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[958]  C.-J. Richard Shi Solving constrained via minimization by compact linear programming , 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

[959]  Xiaobo Sharon Hu,et al.  Task scheduling and voltage selection for energy minimization , 2002, DAC '02.

[960]  Franco Maloberti,et al.  TOSCA: a user-friendly behavioural simulator for oversampling A/D converters , 1991, 1991., IEEE International Sympoisum on Circuits and Systems.

[961]  Steven J. E. Wilton,et al.  Programmable logic IP cores in SoC design: opportunities and challenges , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[962]  Miodrag Potkonjak,et al.  Synthesis techniques for low-power hard real-time systems on variable voltage processors , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[963]  K. Keutzer,et al.  What is the state of the art in commercial EDA tools for low power? , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[964]  Kenneth L. McMillan,et al.  Symbolic model checking: an approach to the state explosion problem , 1992 .

[965]  Nikil D. Dutt,et al.  Behavioral array mapping into multiport memories targeting low power , 1997, Proceedings Tenth International Conference on VLSI Design.

[966]  Ali M. Niknejad,et al.  Analysis, design, and optimization of spiral inductors and transformers for Si RF ICs , 1998, IEEE J. Solid State Circuits.

[967]  N. Seeman,et al.  Design and self-assembly of two-dimensional DNA crystals , 1998, Nature.

[968]  Donglin Liang,et al.  Extending and evaluating flow-insenstitive and context-insensitive points-to analyses for Java , 2001, PASTE '01.

[969]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[970]  Ronald L. Rivest,et al.  Orthogonal Packings in Two Dimensions , 1980, SIAM J. Comput..

[971]  S.-F.S. Chu,et al.  Driving CMOS into the wireless communications arena with technology scaling , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[972]  Mark G. Allen,et al.  A fully integrated planar toroidal inductor with a micromachined nickel-iron magnetic bar , 1994 .

[973]  B. Montgomery Pettitt,et al.  A microscopic view of protein solvation , 1992 .

[974]  Gregory A. Northrop,et al.  A semi-custom design flow in high-performance microprocessor design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[975]  Jan M. Rabaey,et al.  Activity-sensitive architectural power analysis , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[976]  Randal E. Bryant,et al.  Algorithmic Aspects of Symbolic Switch Network Analysis , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[977]  Sung Kyu Lim,et al.  Edge separability based circuit clustering with application to circuit partitioning , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[978]  Prabhakar Goel,et al.  An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits , 1981, IEEE Transactions on Computers.

[979]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[980]  Miron Abramovici,et al.  Sequentially untestable faults identified without search ("simple implications beat exhaustive search!") , 1994, Proceedings., International Test Conference.

[981]  Sharad Malik,et al.  Exact memory size estimation for array computations , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[982]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[983]  G. Golub,et al.  Eigenvalue perturbation and generalized Krylov subspace method , 1998 .

[984]  Vaughn Betz,et al.  Timing-driven placement for FPGAs , 2000, FPGA '00.

[985]  Alberto L. Sangiovanni-Vincentelli,et al.  Automatic synthesis of interfaces between incompatible protocols , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[986]  D. Cox A use of complex probabilities in the theory of stochastic processes , 1955, Mathematical Proceedings of the Cambridge Philosophical Society.

[987]  F. Harary,et al.  The cohesiveness of blocks in social networks: Node connectivity and conditional density , 2001 .

[988]  Farid N. Najm,et al.  A survey of power estimation techniques in VLSI circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[989]  Carl-Johan H. Seger,et al.  Formal verification using parametric representations of Boolean constraints , 1999, DAC '99.

[990]  Paul D. Franzon,et al.  Energy consumption modeling and optimization for SRAM's , 1995, IEEE J. Solid State Circuits.

[991]  Ramarathnam Venkatesan,et al.  Design of practical and provably good random number generators , 1995, SODA '95.

[992]  Hiroshi Kawaguchi,et al.  Cooperative voltage scaling (CVS) between OS and applications for low-power real-time systems , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[993]  Luca Benini,et al.  Battery-Driven Dynamic Power Management , 2001, IEEE Des. Test Comput..

[994]  Daniel Brand,et al.  BooleDozer: Logic synthesis for ASICs , 1996, IBM J. Res. Dev..

[995]  V. Rokhlin Rapid solution of integral equations of classical potential theory , 1985 .

[996]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[997]  Kanad Ghose,et al.  Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[998]  Randal E. Bryant,et al.  Formal hardware verification by symbolic trajectory evaluation , 1997 .

[999]  Hantao Zhang,et al.  SATO: An Efficient Propositional Prover , 1997, CADE.

[1000]  Sarma B. K. Vrudhula,et al.  Power reduction and power-delay trade-offs using logic transformations , 1999, TODE.

[1001]  Tadahiro Kuroda,et al.  Overview of low-power ULSI circuit techniques , 1999 .

[1002]  Keith A. Bowman,et al.  Gigascale integration (GSI) interconnect limits and n-tier multilevel interconnect architectural solutions (discussion session) , 2000, SLIP '00.

[1003]  Bill Moyer,et al.  A low power unified cache architecture providing power and performance flexibility , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[1004]  Shih-Chieh Chang,et al.  An efficient algorithm for local don't care sets calculation , 1995, DAC '95.

[1005]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[1006]  Jacob K. White,et al.  Highly accurate fast methods for extraction and sparsification of substrate coupling based on low-rank approximation , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[1007]  Naresh R. Shanbhag,et al.  A coding framework for low-power address and data busses , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[1008]  Jason V. Clark,et al.  Nodal Analysis for MEMS Design Using SUGAR v0.5 , 1998 .

[1009]  Mihalis Yannakakis,et al.  Optimization, approximation, and complexity classes , 1991, STOC '88.

[1010]  T. C. Hu,et al.  Multi-Terminal Network Flows , 1961 .

[1011]  Jacob K. White,et al.  Improving the generality of the fictitious magnetic charge approach to computing inductances in the presence of permeable materials , 2002, DAC '02.

[1012]  Markus Robinson,et al.  Integrating scan into hierarchical synthesis methodologies , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[1013]  R W Hockney,et al.  Computer Simulation Using Particles , 1966 .

[1014]  Alper Demir Phase noise in oscillators: DAEs and colored noise sources , 1998, ICCAD '98.

[1015]  Serge Luryi,et al.  Automatic generation of RF compact models from device simulation , 2002 .

[1016]  Jussi Rintanen,et al.  Constructing Conditional Plans by a Theorem-Prover , 1999, J. Artif. Intell. Res..

[1017]  Jason Cong,et al.  Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

[1018]  R. Adler A Study of Locking Phenomena in Oscillators , 1946, Proceedings of the IRE.

[1019]  Thomas Kropf,et al.  Verifying imprecisely working arithmetic circuits , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[1020]  Stephen P. Boyd,et al.  GPCAD: a tool for CMOS op-amp synthesis , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[1021]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[1022]  R.W. Brodersen,et al.  Energy–delay tradeoffs in combinational logic using gate sizing and supply voltage optimization , 2002, Proceedings of the 28th European Solid-State Circuits Conference.

[1023]  Alice C. Parker,et al.  Data path tradeoffs using MABAL , 1991, DAC '90.

[1024]  Victor V. Zyuban,et al.  Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels , 2002, ISLPED '02.

[1025]  Shannon V. Morton,et al.  On-chip inductance issues in multiconductor systems , 1999, DAC '99.

[1026]  Mary Sheeran,et al.  Checking Safety Properties Using Induction and a SAT-Solver , 2000, FMCAD.

[1027]  David Overhauser,et al.  Full-chip reliability analysis , 1998, 1998 IEEE International Reliability Physics Symposium Proceedings. 36th Annual (Cat. No.98CH36173).

[1028]  Nikil D. Dutt,et al.  High-level library mapping for memories , 2000, TODE.

[1029]  J. Wait Electromagnetic wave theory , 1985 .

[1030]  W. Donath Equivalence of memory to Random Logic , 1974 .

[1031]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[1032]  P. A. Smith,et al.  Electrochemical Synthesis of Multi-Material Nanowires as Building Blocks for Functional Nanostructures , 2000 .

[1033]  Kapur,et al.  IES/sup 3/: a fast integral equation solver for efficient 3-dimensional extraction , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[1034]  Hao Ji,et al.  How to efficiently capture on-chip inductance effects: introducing a new circuit element K , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[1035]  Miodrag Potkonjak,et al.  Synthesis of application specific programmable processors , 1997, DAC.

[1036]  Catherine Dehollain,et al.  Analysis and improvement of the noise immunity in a single-chip super-regenerative transceiver , 2001 .

[1037]  Reid G. Simmons,et al.  Optimizing Symbolic Model Checking for Constraint-Rich Models , 1999, CAV.

[1038]  Andrew B. Kahng,et al.  Noise and delay uncertainty studies for coupled RC interconnects , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[1039]  Hideo Fujiwara,et al.  On the Acceleration of Test Generation Algorithms , 1983, IEEE Transactions on Computers.

[1040]  Bernd Steinbach,et al.  An algorithm for bi-decomposition of logic functions , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[1041]  S. Thompson MOS Scaling: Transistor Challenges for the 21st Century , 1998 .

[1042]  Paolo Faraboschi,et al.  Custom-fit processors: letting applications define architectures , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.

[1043]  Günther R. Raidl,et al.  Evolutionary local search for the edge-biconnectivity augmentation problem , 2002, Inf. Process. Lett..

[1044]  Lea Hwang Lee,et al.  Low-Cost Embedded Program Loop Caching - Revisited , 1999 .

[1045]  Lars Hedrich,et al.  A formal approach to verification of linear analog circuits with parameter tolerances , 1998, Proceedings Design, Automation and Test in Europe.

[1046]  Dake Liu,et al.  Power consumption estimation in CMOS VLSI chips , 1994, IEEE J. Solid State Circuits.

[1047]  Chong-Min Kyung,et al.  Exploiting intellectual properties in ASIP designs for embedded DSP software , 1999, DAC '99.

[1048]  Carl-Johan H. Seger,et al.  A Methodology for Large-Scale Hardware Verification , 2000, FMCAD.

[1049]  Keith A. Jenkins,et al.  Measurement and modeling of on-chip transmission line effects in a 400 MHz microprocessor , 1998, IEEE J. Solid State Circuits.

[1050]  Joseph Y.-T. Leung,et al.  An Optimal Solution for the Channel-Assignment Problem , 1979, IEEE Transactions on Computers.

[1051]  Ali Hajimiri,et al.  Silicon-based distributed voltage-controlled oscillators , 2001, IEEE J. Solid State Circuits.

[1052]  S. Skelboe Computation of rational interval functions , 1974 .

[1053]  Jörg Henkel,et al.  Energy-conscious HW/SW-partitioning of embedded systems: a case study on an MPEG-2 encoder , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[1054]  Jiawei Zhang,et al.  On approximation of max-vertex-cover , 2002, Eur. J. Oper. Res..

[1055]  Charles M. Lieber,et al.  Directed assembly of one-dimensional nanostructures into functional networks. , 2001, Science.

[1056]  Mattan Kamon,et al.  A mixed nodal-mesh formulation for efficient extraction and passive reduced-order modeling of 3D interconnects , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[1057]  Donald E. Thomas,et al.  The combination of scheduling, allocation, and mapping in a single algorithm , 1991, DAC '90.

[1058]  P. R. O'Brien,et al.  Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, ICCAD 1989.

[1059]  Lei He,et al.  Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization , 2000, ISPD '00.

[1060]  Barbara G. Ryder,et al.  Program decomposition for pointer aliasing: a step toward practical analyses , 1996, SIGSOFT '96.

[1061]  Nur A. Touba,et al.  Test vector encoding using partial LFSR reseeding , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[1062]  Lawrence T. Pileggi,et al.  Modeling magnetic coupling for on-chip interconnect , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[1063]  Majid Sarrafzadeh,et al.  Potential slack: an effective metric of combinational circuit performance , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[1064]  Carl-Johan H. Seger,et al.  A simple theorem prover based on symbolic trajectory evaluation and BDD's , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1065]  Alexandru Nicolau,et al.  Percolation based synthesis , 1991, DAC '90.

[1066]  Kwok K. Ng,et al.  An improved generalized guide for MOSFET scaling , 1993 .

[1067]  Janak H. Patel,et al.  Reducing test application time for full scan embedded cores , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).

[1068]  Bruce Tidor,et al.  Optimization of binding electrostatics: Charge complementarity in the barnase‐barstar protein complex , 2001, Protein science : a publication of the Protein Society.

[1069]  Larry Pileggi,et al.  IC Interconnect Analysis , 2002 .

[1070]  Malgorzata Marek-Sadowska,et al.  Crosstalk in VLSI interconnections , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1071]  H. Bernhard Pogge The next chip challenge: effective methods for viable mixed technology SoCs , 2002, DAC '02.

[1072]  Jianwen Zhu Static memory allocation by pointer analysis and coloring , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[1073]  Igor L. Markov,et al.  Quadratic placement revisited , 1997, DAC.

[1074]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1997, ICCAD 1997.

[1075]  Hiroshi Sawada,et al.  CAD transactions best paper a ward "SPFD: a new method to express functional flexibility" , 2002, IEEE Circuits and Systems Magazine.

[1076]  Eugene D. Fabricius,et al.  Introduction to VLSI design , 1990, McGraw-Hill series in electrical engineering.

[1077]  N.P. van der Meijs,et al.  Extraction of circuit models for substrate cross-talk , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[1078]  Daniel A. Spielman,et al.  Highly fault-tolerant parallel computation , 1996, Proceedings of 37th Conference on Foundations of Computer Science.

[1079]  Chaitali Chakrabarti,et al.  Variable voltage task scheduling algorithms for minimizing energy , 2001, ISLPED '01.

[1080]  Edward A. Lee,et al.  Ptolemy II, Heterogeneous Concurrent Modeling and Design in JAVA , 2001 .

[1081]  Patrick Schaumont,et al.  Hardware/software partitioning of embedded system in OCAPI-xl , 2001, Ninth International Symposium on Hardware/Software Codesign. CODES 2001 (IEEE Cat. No.01TH8571).

[1082]  C. Hu,et al.  Threshold voltage model for deep-submicrometer MOSFETs , 1993 .

[1083]  Edwin Hsing-Mean Sha,et al.  Estimating probabilistic timing performance for real-time embedded systems , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[1084]  Paul D. Franzon,et al.  Molectronics: a circuit design perspective , 2001, SPIE Micro + Nano Materials, Devices, and Applications.

[1085]  Shih-Chieh Chang,et al.  Circuit Optimization by Rewiring , 1999, IEEE Trans. Computers.

[1086]  J. Stathis Physical and predictive models of ultra thin oxide reliability in CMOS devices and circuits , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

[1087]  J. Phillips,et al.  Noise in mixers, oscillators, samplers, and logic an introduction to cyclostationary noise , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[1088]  Jacob A. Abraham,et al.  Property Checking via Structural Analysis , 2002, CAV.

[1089]  Fadi J. Kurdahi,et al.  Layout-driven RTL binding techniques for high-level synthesis , 1996, TODE.

[1090]  K.A. Jenkins,et al.  A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[1091]  J. Kong,et al.  A hybrid method for the calculation of the resistance and inductance of transmission lines with arbitrary cross sections , 1991 .

[1092]  Hee Jung Lee,et al.  MEMS system design and verification tools , 1998, Smart Structures.

[1093]  S. Iijima Helical microtubules of graphitic carbon , 1991, Nature.

[1094]  Anas N. Al-Rabadi,et al.  A General Decomposition for Reversible Logic , 2001 .

[1095]  C. Douglas Locke,et al.  Building a predictable avionics platform in Ada: a case study , 1991, [1991] Proceedings Twelfth Real-Time Systems Symposium.

[1096]  Jacob K. White,et al.  A Precorrected-fft Method For Capacitance Extraction of Complicated 3-D Structures , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[1097]  L.W. Linholm,et al.  An optimized output stage for MOS integrated circuits , 1975, IEEE Journal of Solid-State Circuits.

[1098]  R. Bryant,et al.  Verification of Arithmetic Functions with Binary Moment Diagrams , 1994 .

[1099]  Todd M. Austin,et al.  SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.

[1100]  Jason Cong,et al.  Technology mapping for k/m-macrocell based FPGAs , 2000, FPGA '00.

[1101]  H. Mizuno,et al.  A 18 /spl mu/A-standby-current 1.8 V 200 MHz microprocessor with self substrate-biased data-retention mode , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[1102]  Wayne H. Wolf,et al.  Iterative cache simulation of embedded CPUs with trace stripping , 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

[1103]  Jason Cong,et al.  Physical hierarchy generation with routing congestion control , 2002, ISPD '02.

[1104]  Sung-Mo Kang,et al.  Detailed layer assignment for MCM routing , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[1105]  Wei Zhang,et al.  Exploiting VLIW schedule slacks for dynamic and leakage energy reduction , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

[1106]  J. Tschanz,et al.  A leakage-tolerant dynamic register file using leakage bypass with stack forcing (LBSF) and source follower NMOS (SFN) techniques , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[1107]  Miodrag Potkonjak,et al.  Energy minimization of system pipelines using multiple voltages , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[1108]  S. Goldstein,et al.  Scalable Defect Tolerance for Molecular Electronics , 2002 .

[1109]  John P. Lehoczky,et al.  Real-time queueing theory , 1996, 17th IEEE Real-Time Systems Symposium.

[1110]  P. J. Bricaud,et al.  IP reuse creation for system-on-a-chip design , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[1111]  Rohit Kapur,et al.  CTL the language for describing core-based test , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[1112]  Robert K. Brayton,et al.  Planning for performance , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[1113]  Farid N. Najm,et al.  McPOWER: a Monte Carlo approach to power estimation , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[1114]  M. L. Connolly Analytical molecular surface calculation , 1983 .

[1115]  Kurt Antreich,et al.  A single-path-oriented fault-effect propagation in digital circuits considering multiple-path sensitization , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[1116]  Hiroshi Kawaguchi,et al.  Dynamic leakage cut-off scheme for low-voltage SRAM's , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[1117]  Qiao Li,et al.  Layout extraction including substrate parasitics for esd protection circuits and design rule checking , 2000 .

[1118]  Nicola Femia,et al.  True worst-case circuit tolerance analysis using genetic algorithms and affine arithmetic , 2000 .

[1119]  Nick McKeown,et al.  Matching output queueing with a combined input/output-queued switch , 1999, IEEE J. Sel. Areas Commun..

[1120]  L. R. Carley,et al.  CMOS micromechanical bandpass filter design using a hierarchical MEMS circuit library , 2000, Proceedings IEEE Thirteenth Annual International Conference on Micro Electro Mechanical Systems (Cat. No.00CH36308).

[1121]  D. Overhauser,et al.  Full-chip verification of UDSM designs , 1998, ICCAD '98.

[1122]  Satyandra K. Gupta,et al.  An extraction-based verification methodology for MEMS , 2002 .

[1123]  Anand Raghunathan,et al.  Acceleration techniques for dynamic vector compaction , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[1124]  Serge Demidenko,et al.  Generation and Application of Pseudorandom Sequences for Random Testing , 1988 .

[1125]  Vaughn Betz,et al.  Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.

[1126]  José Pineda de Gyvez,et al.  Yield modeling and BEOL fundamentals , 2001, SLIP '01.

[1127]  Andrew B. Kahng,et al.  Multilevel circuit partitioning , 1997, DAC.

[1128]  Yu-Chin Hsu,et al.  Data path allocation based on bipartite weighted matching , 1990, 27th ACM/IEEE Design Automation Conference.

[1129]  Jan M. Rabaey,et al.  Low-power architectural synthesis and the impact of exploiting locality , 1996, J. VLSI Signal Process..

[1130]  James Tschanz,et al.  Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors , 2002, DAC '02.

[1131]  J. Warwicker,et al.  Calculation of the electric potential in the active site cleft due to alpha-helix dipoles. , 1982, Journal of molecular biology.

[1132]  H. A. Curtis,et al.  A new approach to The design of switching circuits , 1962 .

[1133]  Rolf Drechsler,et al.  BDD minimization using symmetries , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1134]  Tracy Larrabee,et al.  Test pattern generation using Boolean satisfiability , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1135]  Michael L. Bushnell,et al.  SEARCH STATE EQUIVALENCE FOR REDUNDANCY IDENTIFICATION AND TEST GENERATION , 1991, 1991, Proceedings. International Test Conference.

[1136]  Sheldon B. Akers,et al.  A Modification of Lee's Path Connection Algorithm , 1967, IEEE Trans. Electron. Comput..

[1137]  Frederick Warren Grover,et al.  Inductance Calculations: Working Formulas and Tables , 1981 .

[1138]  David Marple Transistor Size Optimization in the Tailor Layout System , 1989, 26th ACM/IEEE Design Automation Conference.

[1139]  Vivek De,et al.  Simultaneous power supply, threshold voltage, and transistor size optimization for low-power operation of CMOS circuits , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[1140]  Jacob K. White,et al.  A precorrected-FFT method for electrostatic analysis of complicated 3-D structures , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1141]  Enrico Macii,et al.  Clustered table-based macromodels for RTL power estimation , 1999, Proceedings Ninth Great Lakes Symposium on VLSI.

[1142]  Hai Zhou,et al.  Timing analysis with crosstalk as fixpoints on complete lattice , 2001, DAC '01.

[1143]  Keikichi Tamaru,et al.  A placement driven methodology for high-level synthesis of sub-micron ASIC's , 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

[1144]  Carl-Johan H. Seger,et al.  Generalized Symbolic Trajectory Evaluation - Abstraction in Action , 2002, FMCAD.

[1145]  R. Williams,et al.  Chemical vapor deposition of Si nanowires nucleated by TiSi2 islands on Si , 2000 .

[1146]  Nicholas R. Swart A design flow for micromachined electromechanical systems , 1999, IEEE Design & Test of Computers.

[1147]  P. Villarrubia,et al.  IBM RISC chip design methodology , 1989, Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[1148]  Tsutomu Sasao,et al.  Realization of multiple-output functions by reconfigurable cascades , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[1149]  Gregory K. Miller,et al.  Elements of Applied Stochastic Processes , 1972 .

[1150]  Krisztián Flautner,et al.  Automatic Performance Setting for Dynamic Voltage Scaling , 2001, MobiCom '01.

[1151]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[1152]  Vishwani D. Agrawal,et al.  Combinational ATPG theorems for identifying untestable faults in sequential circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1153]  Mattan Kamon,et al.  Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances associated with 3-D Interconnect Structures , 1995, 32nd Design Automation Conference.

[1154]  Robert K. Brayton,et al.  Logic optimization with multi-output gates , 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

[1155]  Vishwani D. Agrawal,et al.  A transitive closure algorithm for test generation , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1156]  Petru Eles,et al.  Memory and time-efficient schedulability analysis of task sets with stochastic execution time , 2001, Proceedings 13th Euromicro Conference on Real-Time Systems.

[1157]  Malgorzata Marek-Sadowska,et al.  Post-layout Logic Restructuring For Performance Optimization , 1997, Proceedings of the 34th Design Automation Conference.

[1158]  Anna Tempczyk,et al.  Electrostatic contributions to solvation energies: comparison of free energy perturbation and continuum calculations , 1991 .

[1159]  Thomas D. Burd,et al.  Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[1160]  Michael Hind,et al.  Pointer analysis: haven't we solved this problem yet? , 2001, PASTE '01.

[1161]  Philippe Magarshack Improving SoC Design Quality through a Reproducible Design Flow , 2002, IEEE Des. Test Comput..

[1162]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[1163]  Robert K. Brayton,et al.  A Boolean Paradigm in Multi-Valued Logic Synthesis , 2002, IWLS.

[1164]  K. Sharp,et al.  Calculating the electrostatic potential of molecules in solution: Method and error assessment , 1988 .

[1165]  A. Varnek,et al.  A fast and Space‐efficient boundary element method for computing electrostatic and hydration effects in large molecules , 1996 .

[1166]  O. Brune Synthesis of a finite two-terminal network whose driving-point impedance is a prescribed function of frequency , 1931 .

[1167]  Jan M. Rabaey,et al.  Ultra-low-power domain-specific multimedia processors , 1996, VLSI Signal Processing, IX.

[1168]  In-Ho Moon,et al.  Border-Block Triangular Form and Conjunction Schedule in Image Computation , 2000, FMCAD.

[1169]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[1170]  G Lorenz,et al.  Network-Type Modeling of Micromachined Sensor Systems , 1998 .

[1171]  Catherine Dehollain,et al.  HSpeedEx: a high-speed extractor for substrate noise analysis in complex mixed signal SOC , 2002, DAC '02.

[1172]  Carl-Johan H. Seger,et al.  Introduction to generalized symbolic trajectory evaluation , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[1173]  Jason Cong,et al.  RASP: A General Logic Synthesis System for SRAM-Based FPGAs , 1996, Fourth International ACM Symposium on Field-Programmable Gate Arrays.

[1174]  Donglin Liang,et al.  Efficient Computation of Parameterized Pointer Information for Interprocedural Analyses , 2001, SAS.

[1175]  Nandakumar Nityananda Tendolkar Analysis of Timing Failures Due to Random AC Defects in VLSI Modules , 1985, DAC 1985.

[1176]  Rob A. Rutenbar,et al.  Computer-aided design of analog and mixed-signal integrated circuits , 2000, Proceedings of the IEEE.

[1177]  Luca Benini,et al.  System-level power optimization: techniques and tools , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[1178]  Alice C. Parker,et al.  3D scheduling: high-level synthesis with floorplanning , 1991, 28th ACM/IEEE Design Automation Conference.

[1179]  Eduard Cerny,et al.  An Approach to Unified Methodology of Combinational Switching Circuits , 1977, IEEE Transactions on Computers.

[1180]  Melvin A. Breuer,et al.  New Validation and Test Problems for High Performance Deep Sub-micron VLSI Circuits , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[1181]  Tsan-Sheng Hsu,et al.  Graph augmentation and related problems: theory and practice , 1993 .

[1182]  Giovanni De Micheli,et al.  Polynomial circuit models for component matching in high-level synthesis , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[1183]  J. Pasciak,et al.  Computer solution of large sparse positive definite systems , 1982 .

[1184]  Asmus Hetzel,et al.  A sequential detailed router for huge grid graphs , 1998, Proceedings Design, Automation and Test in Europe.

[1185]  Aharon Aharon,et al.  Verification of the IBM RISC System/6000 by a Dynamic Biased Pseudo-Random Test Program Generator , 1991, IBM Syst. J..

[1186]  Behzad Razavi,et al.  A study of phase noise in CMOS oscillators , 1996, IEEE J. Solid State Circuits.

[1187]  Janet Roveda,et al.  On convergence of switching windows computation in presence of crosstalk noise , 2002, ISPD '02.

[1188]  Mark G. Allen,et al.  Micromachined planar inductors on silicon wafers for MEMS applications , 1998, IEEE Trans. Ind. Electron..

[1189]  Hideo Fujiwara,et al.  The Complexity of Fault Detection Problems for Combinational Logic Circuits , 1982, IEEE Transactions on Computers.

[1190]  S. Sriharan,et al.  The fast multipole boundary element method for molecular electrostatics: An optimal approach for large systems , 1995, J. Comput. Chem..

[1191]  Gary L. Miller,et al.  The Complexity of Coloring Circular Arcs and Chords , 1980, SIAM J. Algebraic Discret. Methods.

[1192]  Premachandran R. Menon,et al.  Logic optimization and equivalence checking by implication analysis , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1193]  Carl-Johan H. Seger,et al.  Combining theorem proving and trajectory evaluation in an industrial environment , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[1194]  Lawrence T. Pileggi,et al.  Window-based susceptance models for large-scale RLC circuit analyses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[1195]  Janak H. Patel,et al.  A graph traversal based framework for sequential logic implication with an application to C-cycle redundancy identification , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

[1196]  Satoshi Shigematsu,et al.  A 1-V multithreshold-voltage CMOS digital signal processor for mobile phone application , 1996, IEEE J. Solid State Circuits.

[1197]  Ernest S. Kuh,et al.  Proud: a fast sea-of-gates placement algorithm , 1988, DAC '88.

[1198]  Karem A. Sakallah,et al.  GRASP—a new search algorithm for satisfiability , 1996, ICCAD 1996.

[1199]  George L. Nemhauser,et al.  Handbooks in operations research and management science , 1989 .

[1200]  Bjarne Steensgaard,et al.  Points-to analysis in almost linear time , 1996, POPL '96.

[1201]  Albert Wang,et al.  Hardware/software instruction set configurability for system-on-chip processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[1202]  Michiel Steyaert,et al.  CYCLONE: automated design and layout of RF LC-oscillators , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1203]  Chaitali Chakrabarti,et al.  Memory exploration for low power, embedded systems , 1999, DAC '99.

[1204]  W. M. Snelgrove,et al.  Approaches to simulating continuous-time delta sigma modulators , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).

[1205]  Yici Cai,et al.  Corner block list: an effective and efficient topological representation of non-slicing floorplan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[1206]  Hilary Putnam,et al.  A Computing Procedure for Quantification Theory , 1960, JACM.

[1207]  Magdy S. Abadir,et al.  Formal verification of content addressable memories using symbolic trajectory evaluation , 1997, DAC.

[1208]  R. Marculescu,et al.  Information theoretic measures for power analysis : Low power design , 1996 .

[1209]  Ricardo E. Gonzalez,et al.  Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.

[1210]  Adnan Aziz,et al.  Modeling design constraints and biasing in simulation using BDDs , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[1211]  B. J. Yoon,et al.  A boundary element method for molecular electrostatics with electrolyte effects , 1990 .

[1212]  Sujit Dey,et al.  Modeling and minimization of interconnect energy dissipation in nanometer technologies , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[1213]  Rob A. Rutenbar,et al.  Wire packing: a strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution , 2000, ISPD '00.

[1214]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[1215]  Paul D. Franzon,et al.  Energy control and accurate delay estimation in the design of CMOS buffers , 1994 .

[1216]  Peter Feldmann,et al.  Statistical integrated circuit design , 1993 .

[1217]  Yu-Liang Wu,et al.  A fast graph-based alternative wiring scheme for Boolean networks , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[1218]  David J. Allstot,et al.  Simulation techniques and solutions for mixed-signal coupling in integrated circuits , 1994 .

[1219]  Dominik Stoffel,et al.  Reasoning in Boolean Networks - Logic Synthesis and Verification Using Testing Techniques , 1997, Frontiers in electronic testing.

[1220]  Robert K. Brayton,et al.  Using SAT for combinational equivalence checking , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[1221]  H. Wallinga,et al.  SEAS: a simulated evolution approach for analog circuit synthesis , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.

[1222]  Kaushik Roy,et al.  An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[1223]  Kenneth Rose,et al.  Modeling Microprocessor Performance , 1998 .

[1224]  Chin-Shan Hou,et al.  Back-gate bias enhanced band-to-band tunneling leakage in scaled MOSFET's , 1998 .

[1225]  E. Hansen Global optimization using interval analysis — the multi-dimensional case , 1980 .

[1226]  David Ihsin Cheng On removing multiple redundancies in combinational circuits , 1998, DATE.

[1227]  Melvin A. Breuer,et al.  Diagnosis and Reliable Design of Digital Systems , 1977 .

[1228]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[1229]  A. Scorzoni,et al.  Electromigration in thin-film interconnection lines: models, methods and results , 1991 .

[1230]  Sani R. Nassif,et al.  Fast power grid simulation , 2000, Proceedings 37th Design Automation Conference.

[1231]  Randal E. Bryant,et al.  Boolean Analysis of MOS Circuits , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[1232]  Harvey F. Silverman,et al.  Processor reconfiguration through instruction-set metamorphosis , 1993, Computer.

[1233]  Dhiraj K. Pradhan,et al.  Accelerated dynamic learning for test pattern generation in combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1234]  Sujit Dey,et al.  Controller-based power management for control-flow intensive designs , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1235]  Chung-Kuan Cheng,et al.  Prime: A Timing-Driven Placement Tool Using A Piecewise Linear Resistive Network Approach , 1993, 30th ACM/IEEE Design Automation Conference.

[1236]  Chenming Hu,et al.  Performance and V/sub dd/ scaling in deep submicrometer CMOS , 1998 .

[1237]  N. Quinn,et al.  A forced directed component placement procedure for printed circuit boards , 1979 .

[1238]  Rajendran Panda,et al.  False-noise analysis using resolution method , 2002, Proceedings International Symposium on Quality Electronic Design.

[1239]  Michel S. Nakhla,et al.  Analysis of high-speed VLSI interconnects using the asymptotic waveform evaluation technique , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[1240]  Ueli Maurer,et al.  Perfect Local Randomness in Pseudo-Random Sequences , 1989, CRYPTO.

[1241]  Paul E. Landman,et al.  High-level power estimation , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[1242]  K. L. Shepard,et al.  Global harmony: coupled noise analysis for full-chip RC interconnect networks , 1997, ICCAD 1997.

[1243]  I. Sutherland,et al.  Logical Effort: Designing Fast CMOS Circuits , 1999 .

[1244]  M. Pecht,et al.  Material failure mechanisms and damage models , 1991 .

[1245]  Hamid Savoj,et al.  Don't cares in multi-level network optimization , 1992 .

[1246]  Jan M. Rabaey,et al.  Limitations and challenges of computer-aided design technology for CMOS VLSI , 2001, Proc. IEEE.

[1247]  William H. Press,et al.  Numerical recipes in C. The art of scientific computing , 1987 .

[1248]  Jacob K. White,et al.  Fast capacitance extraction of general three-dimensional structures , 1992 .

[1249]  Michael H. Schulz,et al.  Improved deterministic test pattern generation with applications to redundancy identification , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..