Power Delivery Networks for Embedded Mobile SoCs: Architectural Advancements and Design Challenges

Conventional power delivery networks (PDNs) and power management techniques using off-chip power converters with bulky passive components cannot meet the ever-evolving power delivery requirements of high-performance modern system-on-chips (SoCs). In SoCs, heterogeneous components, including multi-core processors and mixed-signals peripheral circuits, require state-of-the-art PDNs to provide high-quality power-on-demand with minimum latency, simultaneously achieving the small-factor, high conversion efficiency, and minimum current consumption. To satisfy these power delivery requirements, various PDNs have been developed over the past decades, such as the conventional architectures using off-chip power converters, architectures using in-package power converters and fully-integrated power converters, and heterogeneous architectures (off-chip power converters and on-chip regulators). This paper reviews these architectural advancements of the PDNs and their advantages and limitations, which leads us to discuss a heterogeneous PDN structure consisting of a highly efficient off-chip switching-mode power converter and multiple highly precise small linear regulators integrated on chip at point-of-load locations. The heterogeneous PDN has been proved one of the most suitable architectures to achieve high-quality fine-grained on-chip power delivery and management in SoCs. This paper also discusses unified voltage and frequency regulators (UVFRs), which support dynamic-variation-aware dynamic voltage and frequency scaling (DVFS) for fine-grained power management in multi-core processors. Based on the UVFR, we propose a modified heterogeneous PDN using frequency-referenced digital low-dropout regulators (FR-DLDOs) for more efficient DVFS, eliminating the need for band-gap circuits to provide reference voltages. As an exemplary implementation of FR-DLDO for this PDN, we present an FR-DLDO with a transient-boost control, which accelerates the transient response. The transient-boost control is activated dynamically only when an abrupt change happens out of the steady state. The implemented FR-DLDO fabricated in a 40-nm CMOS process outperforms other FR-DLDOs in the figure-of-merit and peak power efficiency while driving 40 mA of load current.

[1]  Visvesh S. Sathe,et al.  UniCaP-2: Phase-Locked Adaptive Clocking with Rapid Clock Cycle Recovery in 65nm CMOS , 2020, 2020 IEEE Symposium on VLSI Circuits.

[2]  H.J. Bergveld,et al.  An inductive down converter system-in-package for integrated power management in battery-powered applications , 2008, 2008 IEEE Power Electronics Specialists Conference.

[3]  Keith A. Bowman Adaptive and Resilient Circuits: A Tutorial on Improving Processor Performance, Energy Efficiency, and Yield via Dynamic Variation , 2018, IEEE Solid-State Circuits Magazine.

[4]  Xun Sun,et al.  An All-Digital Fused PLL-Buck Architecture for 82% Average Vdd-Margin Reduction in a 0.6-to-1.0-V Cortex-M0 Processor , 2019, IEEE Journal of Solid-State Circuits.

[5]  Pak Kwong Chan,et al.  A Sub-1 V Transient-Enhanced Output-Capacitorless LDO Regulator With Push–Pull Composite Power Transistor , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[7]  Jian Lu,et al.  Integration of a Monolithic Buck Converter Power IC and Bondwire Inductors With Ferrite Epoxy Glob Cores , 2011, IEEE Transactions on Power Electronics.

[8]  Christian Kranz,et al.  Evolution on SoC Integration : GSM Baseband-Radio in 0.13 μm CMOS Extended by Fully Integrated Power Management Unit , 2008, ISSCC 2008.

[9]  Christopher Gonzalez,et al.  5.1 POWER8TM: A 12-core server-class processor in 22nm SOI with 7.6Tb/s off-chip bandwidth , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[10]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[11]  Peng Xu,et al.  Investigation of candidate VRM topologies for future microprocessors , 2000 .

[12]  Renatas Jakushokas,et al.  On-Chip Power Delivery and Management , 2016 .

[13]  Sohmyung Ha,et al.  Output-Capacitorless Tri-Loop Digital Low Dropout Regulator Achieving 99.91% Current Efficiency and 2.87 fs FOM , 2021, IEEE Transactions on Power Electronics.

[14]  James D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.

[15]  Youngkook Ahn,et al.  A 50-MHz Fully Integrated Low-Swing Buck Converter Using Packaging Inductors , 2012, IEEE Transactions on Power Electronics.

[16]  Haoran Li,et al.  Adaptive power delivery system management for many-core processors with on/off-chip voltage regulators , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[17]  Eby G. Friedman,et al.  Heterogeneous Methodology for Energy Efficient Distribution of On-Chip Power Supplies , 2013, IEEE Transactions on Power Electronics.

[18]  Ioannis Kymissis,et al.  A 2.5D Integrated Voltage Regulator Using Coupled-Magnetic-Core Inductors on Silicon Interposer , 2012, IEEE Journal of Solid-State Circuits.

[19]  Fan Yang,et al.  A Distributed Power Delivery Grid Based on Analog-Assisted Digital LDOs With Cooperative Regulation and IR-Drop Reduction , 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

[20]  Kevin G. Stawiasz,et al.  5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[21]  Visvesh Sathe,et al.  UVFR: A Unified Voltage and Frequency Regulator with 500MHz/0.84V to 100KHz/0.27V operating range, 99.4% current efficiency and 27% supply guardband reduction , 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

[22]  Xiaosen Liu,et al.  A Light-Load Efficient Fully Integrated Voltage Regulator in 14-nm CMOS With 2.5-nH Package-Embedded Air-Core Inductors , 2019, IEEE Journal of Solid-State Circuits.

[23]  K. Inagaki,et al.  Stacked-Chip Implementation of On-Chip Buck Converter for Distributed Power Supply System in SiPs , 2007, IEEE Journal of Solid-State Circuits.

[24]  Inna P. Vaisband Power Delivery and Management in Nanoscale ICs , 2015 .

[25]  John M. Cohn,et al.  Managing power and performance for system-on-chip designs using Voltage Islands , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[26]  Jaydeep P. Kulkarni,et al.  5.7 A graphics execution core in 22nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[27]  Arijit Raychowdhury,et al.  A Quad-Output Elastic Switched Capacitor Converter and Per-Core LDO with 87% Power Efficiency and 2.5× Core-Frequency Range Improvement , 2020, ISCAS.

[28]  Michiel Steyaert,et al.  A fully-integrated 0.18μm CMOS DC-DC step-down converter, using a bondwire spiral inductor , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[29]  Zhe Wang,et al.  An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Luca P. Carloni,et al.  A 2.5D integrated voltage regulator using coupled-magnetic-core inductors on silicon interposer delivering 10.8A/mm2 , 2012, ISSCC.

[31]  Deog-Kyoon Jeong,et al.  25.2 A 480mA Output-Capacitor-Free Synthesizable Digital LDO Using CMP- Triggered Oscillator and Droop Detector with 99.99% Current Efficiency, 1.3ns Response Time, and 9.8A/mm2 Current Density , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[32]  Raymond Foley,et al.  Technology Roadmapping for Power Supply in Package (PSiP) and Power Supply on Chip (PwrSoC) , 2013, IEEE Transactions on Power Electronics.

[33]  Ningning Wang,et al.  Review of Integrated Magnetics for Power Supply on Chip (PwrSoC) , 2012, IEEE Transactions on Power Electronics.

[34]  Christopher Torng,et al.  Enabling Realistic Fine-Grain Voltage Scaling with Reconfigurable Power Distribution Networks , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

[35]  Rais Miftakhutdinov,et al.  An Analytical Comparison of Alternative Control Techniques for Powering Next-Generation Microprocessors , 2001 .

[36]  Peng Li,et al.  A 0.38 V near/sub-VT digitally controlled low-dropout regulator with enhanced power supply noise rejection in 90 nm CMOS process , 2013, IET Circuits Devices Syst..

[37]  S. Narendra,et al.  A 233-MHz 80%-87% efficient four-phase DC-DC converter utilizing air-core inductors on package , 2005, IEEE Journal of Solid-State Circuits.

[38]  Houman Homayoun,et al.  ElasticCore: Enabling dynamic heterogeneity with joint core and voltage/frequency scaling , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[39]  Zeynep Toprak-Deniz,et al.  Distributed Network of LDO Microregulators Providing Submicrosecond DVFS and IR Drop Compensation for a 24-Core Microprocessor in 14-nm SOI CMOS , 2020, IEEE Journal of Solid-State Circuits.

[40]  Lawrence T. Clark,et al.  An embedded 32-b microprocessor core for low-power and high-performance applications , 2001 .

[41]  Yu Sun,et al.  19.3 A 7nm All-Digital Unified Voltage and Frequency Regulator Based on a High-Bandwidth 2-Phase Buck Converter with Package Inductors , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[42]  Eby G. Friedman,et al.  Dynamic power management with power network-on-chip , 2014, 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS).

[43]  Ke-Horng Chen,et al.  Smooth Pole Tracking Technique by Power MOSFET Array in Low-Dropout Regulators , 2008, IEEE Transactions on Power Electronics.

[44]  Eby G. Friedman,et al.  Distributed On-Chip Power Delivery , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[45]  Keith A. Bowman,et al.  A Unified Clock and Switched-Capacitor-Based Power Delivery Architecture for Variation Tolerance in Low-Voltage SoC Domains , 2019, IEEE Journal of Solid-State Circuits.

[46]  Ravi Karadi,et al.  A 65-nm-CMOS 100-MHz 87%-efficient DC-DC down converter based on dual-die system-in-package integration , 2009, 2009 IEEE Energy Conversion Congress and Exposition.

[47]  Saibal Roy,et al.  Powwer supply on chip (integration of inductors and capacitors with active semiconductors) , 2012, 2012 24th International Symposium on Power Semiconductor Devices and ICs.

[49]  Xi Qu,et al.  An Ultralow-Power Fast-Transient Capacitor-Free Low-Dropout Regulator With Assistant Push–Pull Output Stage , 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

[50]  Masud H. Chowdhury,et al.  A Hybrid Scheme for On-Chip Voltage Regulation in System-On-a-Chip (SOC) , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[51]  Eby G. Friedman,et al.  Distributed power network co-design with on-chip power supplies and decoupling capacitors , 2011, International Workshop on System Level Interconnect Prediction.

[52]  Min Tan,et al.  A 0.5-V Capless LDO With 30-dB PSRR at 10-kHz Using a Lightweight Local Generated Supply , 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

[53]  Arijit Raychowdhury,et al.  Modeling and analysis of system stability in a distributed power delivery network with embedded digital linear regulators , 2014, Fifteenth International Symposium on Quality Electronic Design.

[54]  Yehea I. Ismail,et al.  Optimum sizing of power grids for IR drop , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[55]  Ramesh Harjani,et al.  Fully integrated on-chip DC-DC converter with a 450x output range , 2010, IEEE Custom Integrated Circuits Conference 2010.

[56]  In-Chul Hwang,et al.  Capacitorless Self-Clocked All-Digital Low-Dropout Regulator , 2019, IEEE Journal of Solid-State Circuits.

[57]  Wing-Hung Ki,et al.  17.11 A 0.65ns-response-time 3.01ps FOM fully-integrated low-dropout regulator with full-spectrum power-supply-rejection for wideband communication systems , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[58]  Xiaosen Liu,et al.  An Autonomous Reconfigurable Power Delivery Network (RPDN) for Many-Core SoCs Featuring Dynamic Current Steering , 2020, 2020 IEEE Symposium on VLSI Circuits.

[59]  Chih-Kong Ken Yang,et al.  Evaluation of Fully-Integrated Switching Regulators for CMOS Process Technologies , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[60]  Soyeon Joo,et al.  Output-Capacitor-Free LDO Design Methodologies for High EMI Immunity , 2018, IEEE Transactions on Electromagnetic Compatibility.

[61]  E. Sanchez-Sinencio,et al.  Design of a fully-integrated buck voltage regulator using standard CMOS technology , 2012, 2012 IEEE 3rd Latin American Symposium on Circuits and Systems (LASCAS).

[62]  Hua Fan,et al.  An External Capacitor-Less Low-Dropout Voltage Regulator Using a Transconductance Amplifier , 2019, IEEE Transactions on Circuits and Systems II: Express Briefs.

[63]  Fabrice Paillet,et al.  FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

[64]  Sohmyung Ha,et al.  Architectural Advancement of Digital Low-Dropout Regulators , 2020, IEEE Access.

[65]  In-Chul Hwang,et al.  Fast Transient Fully Standard-Cell-Based All Digital Low-Dropout Regulator With 99.97% Current Efficiency , 2018, IEEE Transactions on Power Electronics.