An efficient all-digital IR-Drop Alarmer for DVFS-based SoC

For 40nm and below technologies, billions of transistors can be integrated into a single chip. Meanwhile, the operation frequency has reached over Giga Hertz. In this case, highly synchronized switching activities can induce significant current, which leads to IR-drop. Excessive IR-drop can cause timing failure, abnormal reset, or disruption of data processing. As a result, dynamic voltage and frequency scaling (DVFS) system implemented effective adaptation strategies are widely used by SoCs to mitigate IR-Drop noise and stabilize performance. As the basis of DVFS action, economic and accurate IR-drop monitors are in great need. This paper presents a novel and efficient IR-Drop Alarmer, which can cooperate with the DVFS system for fast IR-drop adaptation. The IR-drop alarming threshold of the proposed sensor is configurable between 45mV to 120mV. Considering a 1.1ns width IR-drop noise, the IR noise sampling window can be as small as 0.125ns, with alarming duration error rate less than 6.8% for 97% of the Monte Carlo samples considering process variations. Furthermore, the proposed alarmer is composed by all-digital standard gates without an y high frequency sampling clock, which is of low area overhead and power consumption.

[1]  Kaushik Roy,et al.  Estimation of switching noise on power supply lines in deep sub-micron CMOS circuits , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[2]  Tzi-Dar Chiueh,et al.  An energy-efficient resilient flip-flop circuit with built-in timing-error detection and correction , 2015, VLSI Design, Automation and Test(VLSI-DAT).

[3]  Alfred L. Crouch,et al.  A Production IR-Drop Screen on a Chip , 2007, IEEE Design & Test of Computers.

[4]  Mark Mohammad Tehranipoor,et al.  Identification of IR-drop hot-spots in defective power distribution network using TDF ATPG , 2010, 2010 5th International Design and Test Workshop.

[5]  Gordon Russell,et al.  A High Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[6]  Qadeer Ahmad Khan,et al.  Techniques for on-chip process voltage and temperature detection and compensation , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[7]  M. Nagata,et al.  Measurements of Digital Signal Delay Variation Due to Dynamic Power Supply Noise , 2005, 2005 IEEE Asian Solid-State Circuits Conference.

[8]  William V. Huott,et al.  On-chip Timing Uncertainty Measurements on IBM Microprocessors , 2008, 2008 IEEE International Test Conference.

[9]  Amit Kumar Singh,et al.  Energy optimization by exploiting execution slacks in streaming applications on Multiprocessor Systems , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  C.H. Kim,et al.  On-Chip Supply Noise Regulation Using a Low-Power Digital Switched Decoupling Capacitor Circuit , 2009, IEEE Journal of Solid-State Circuits.

[11]  Doug Josephson,et al.  Voltage transient detection and induction for debug and test , 2009, 2009 International Test Conference.

[12]  Pak Kwong Chan,et al.  A Compact CMOS Ring Oscillator with Temperature and Supply Compensation for Sensor Applications , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

[13]  Xiaowei Li,et al.  Online timing variation tolerance for digital integrated circuits , 2011, 2011 IEEE International Test Conference.

[14]  Niraj K. Jha,et al.  FinCANON: A PVT-Aware Integrated Delay and Power Modeling Framework for FinFET-Based Caches and On-Chip Networks , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Xijiang Lin Power Supply Droop and Its Impacts on Structural At-Speed Testing , 2012, 2012 IEEE 21st Asian Test Symposium.

[16]  Resve A. Saleh,et al.  Clock skew verification in the presence of IR-drop in the powerdistribution network , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Wei Zhang,et al.  Sensing Voltage Transients Using Built-in Voltage Sensor , 2012 .

[18]  Donglin Su,et al.  On-chip EMI monitoring for integrated circuits of 55nm and below technologies , 2014, 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS).

[19]  Mark Mohammad Tehranipoor,et al.  Emulating and diagnosing IR-drop by using dynamic SDF , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[20]  Fabien Clermidy,et al.  Fine-grain DVFS and AVFS techniques for complex SoC design: An overview of architectural solutions through technology nodes , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).