Understanding and mitigating bridge defects in block copolymer directed self-assembly through computational materials design and optimization

Block copolymers (BCPs) are appealing materials to the lithography community because of their potential to extend Moore's Law beyond the 10nm node. Not only do BCPs have the ability to microphase separate into structures such as cylinders and lamellae at single-nm length scales, but device fabricators also have control over the alignment of these structures by manipulating the energy landscape of the substrate via directed self- assembly (DSA). Despite the promise that BCPs show in offering an economical enhancement to optical lithography, the levels of defectivity in BCP-patterned devices are still above the desired levels for industrial- scale implementation. A troublesome defect mode that has been observed in experimental BCP structures is the bridge defect. Previous simulation studies by Henderson and coworkers have shown that affinity defects in chemoepitaxial underlayers have the potential to spawn bridge defects in the overlying BCP film. An important consideration in characterizing bridge defectivity is to evaluate and determine which BCP material properties are capable of inhibiting bridge propagation through a BCP film aligned atop an underlayer containing an affinity defect. In this work, coarse-grained molecular dynamics simulations were used to model BCPs with various energetic and structural properties to identify which properties impact bridge propagation. Although there was minimal correlation between BCP properties and bridge propagation, a kinetic survey showed that bridge defects generally reached their maximum thickness within the first 100ns of thermal annealing. As the BCP began undergoing long-range alignment, the bridges slowly healed before reaching an equilibrium thickness of roughly one BCP chain.

[1]  Justin D. Holmes,et al.  Monitoring PMMA Elimination by Reactive Ion Etching from a Lamellar PS-b-PMMA Thin Film by ex Situ TEM Methods , 2010 .

[2]  Juan J. de Pablo,et al.  Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features , 2013 .

[3]  Xiaodan Gu,et al.  High Aspect Ratio Sub‐15 nm Silicon Trenches From Block Copolymer Templates , 2012, Advanced materials.

[4]  Bong Hoon Kim,et al.  Directed self-assembly of block copolymers for next generation nanolithography , 2013 .

[5]  C. Grant Willson,et al.  Design of high‐χ block copolymers for lithography , 2015 .

[6]  P. Nealey,et al.  Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates , 2003, Nature.

[7]  P. Flory Thermodynamics of High Polymer Solutions , 1941 .

[8]  Marcus Müller,et al.  Defects in the Self-Assembly of Block Copolymers and Their Relevance for Directed Self-Assembly. , 2015, Annual review of chemical and biomolecular engineering.

[9]  Makoto Muramatsu,et al.  Defect and roughness reduction of chemo-epitaxy DSA pattern , 2018, Advanced Lithography.

[10]  G. Fredrickson,et al.  Field-theoretic Simulations of Directed Self-assembly for Contact Multiplication , 2015 .

[11]  Makoto Muramatsu,et al.  Pattern defect reduction and LER improvement of chemo-epitaxy DSA process , 2017, Advanced Lithography.

[12]  Joshua A. Anderson,et al.  General purpose molecular dynamics simulations fully implemented on graphics processing units , 2008, J. Comput. Phys..

[13]  Kris T. Delaney,et al.  Computational Study of Directed Self-Assembly in Neutral Prepatterns for a Graphoepitaxial Pitch-Multiplication Application , 2015 .

[14]  Katsuyoshi Kodera,et al.  A simulation study on bridge defects in lamellae-forming diblock copolymers , 2018, Advanced Lithography.

[15]  John S. Villarrubia,et al.  CD-SEM measurement line edge roughness test patterns for 193 nm lithography , 2003, SPIE Advanced Lithography.

[16]  F. Bates,et al.  Unifying Weak- and Strong-Segregation Block Copolymer Theories , 1996 .

[17]  Hyo Seon Suh,et al.  Kinetic approach to defect reduction in directed self-assembly , 2019, Journal of Micro/Nanolithography, MEMS, and MOEMS.

[18]  Nelson Felix,et al.  Comparison of left and right side line edge roughness in lithography , 2016, SPIE Advanced Lithography.

[19]  G. Fredrickson,et al.  The Hole Shrink Problem: Self-Consistent Field Theory for Directed Self-Assembly of Miktoarm Copolymers , 2014 .

[20]  Clifford L. Henderson,et al.  Effect of chemoepitaxial guiding underlayer design on the pattern quality and shape of aligned lamellae for fabrication of line-space patterns , 2015, Advanced Lithography.

[21]  Makoto Muramatsu,et al.  Pattern fidelity improvement of chemo-epitaxy DSA process for high-volume manufacturing , 2016, SPIE Advanced Lithography.

[22]  Juan J. de Pablo,et al.  Free Energy of Defects in Ordered Assemblies of Block Copolymer Domains. , 2012, ACS macro letters.

[23]  Justin D. Holmes,et al.  Plasma etch technologies for the development of ultra-small feature size transistor devices , 2011 .

[24]  K Schulten,et al.  VMD: visual molecular dynamics. , 1996, Journal of molecular graphics.

[25]  J. Schelten,et al.  Measurements of persistence length and temperature dependence of the radius of gyration in bulk atactic polystyrene , 1974 .

[26]  F. Bates,et al.  Sub-5 nm Domains in Ordered Poly(cyclohexylethylene)-block-poly(methyl methacrylate) Block Polymers for Lithography , 2014 .

[27]  Makoto Muramatsu,et al.  Simulation analysis of directed self-assembly for hole multiplication in guide pattern , 2014, Advanced Lithography.

[28]  Juan J. de Pablo,et al.  Remediation of Line Edge Roughness in Chemical Nanopatterns by the Directed Assembly of Overlying Block Copolymer Films , 2010 .

[29]  P. Nealey,et al.  Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching , 2007 .

[30]  Jian Yin,et al.  The SMARTTM Process for Directed Block Co-Polymer Self-Assembly , 2013 .

[31]  Clifford L. Henderson,et al.  Coarse grained molecular dynamics model of block copolymer directed self-assembly , 2013 .

[32]  Hengpeng Wu,et al.  All track directed self-assembly of block copolymers: process flow and origin of defects , 2012, Advanced Lithography.

[33]  R. Ruiz,et al.  Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly , 2008, Science.

[34]  Pak Lui,et al.  Strong scaling of general-purpose molecular dynamics simulations on GPUs , 2014, Comput. Phys. Commun..