Low dielectric constant materials for microelectronics

The ever increasing requirements for electrical performance of on-chip wiring has driven three major technological advances in recent years. First, copper has replaced Aluminum as the new interconnect metal of choice, forcing also the introduction of damascene processing. Second, alternatives for SiO2 with a lower dielectric constant are being developed and introduced in main stream processing. The many new resulting materials needs to be classified in terms of their materials characteristics, evaluated in terms of their properties, and tested for process compatibility. Third, in an attempt to lower the dielectric constant even more, porosity is being introduced into these new materials. The study of processes such as plasma interactions and swelling in liquid media now becomes critical. Furthermore, pore sealing and the deposition of a thin continuous copper diffusion barrier on a porous dielectric are of prime importance. This review is an attempt to give an overview of the classification, the character...

[1]  Po-Tsun Liu,et al.  Improvement on Intrinsic Electrical Properties of Low‐k Hydrogen Silsesquioxane/Copper Interconnects Employing Deuterium Plasma Treatment , 2000 .

[2]  M. Liang,et al.  Physical and Electrical Characteristics of Methylsilane- and Trimethylsilane-Doped Low Dielectric Constant Chemical Vapor Deposited Oxides , 2001 .

[3]  P. Mutin,et al.  Thermal reactivity of hydrogenosilsesquioxane gels , 1991 .

[4]  J. McDonald,et al.  Chemical interactions at Ta/fluorinated polymer buried interfaces , 1998 .

[5]  D. Tweet,et al.  DEPOSITION OF HIGHLY CROSSLINKED FLUORINATED AMORPHOUS CARBON FILM AND STRUCTURAL EVOLUTION DURING THERMAL ANNEALING , 1998 .

[6]  M. S. Hwang,et al.  Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films , 2001 .

[7]  Jacques Villain,et al.  What does an evaporating surface look like , 1994 .

[8]  K. Maex,et al.  Controllable Change of Porosity of 3-Methylsilane Low-k Dielectric Film , 2001 .

[9]  P. Ho,et al.  Thermomechanical properties and moisture uptake characteristics of hydrogen silsesquioxane submicron films , 1999 .

[10]  A. V. Zverev,et al.  The simulation of epitaxy, sublimation, and annealing processes in a 3D silicon surface layer , 2001 .

[11]  S. Rhee,et al.  Remote Plasma-Enhanced Chemical Vapor Deposition of Nanoporous Low-Dielectric Constant SiCOH Films Using Vinyltrimethylsilane , 2002 .

[12]  Weber,et al.  Modification of growth kinetics in surfactant-mediated epitaxy. , 1995, Physical review. B, Condensed matter.

[13]  R. Egerton Electron Energy-Loss Spectroscopy in the Electron Microscope , 1995, Springer US.

[14]  K. Maex,et al.  Diffusion barrier integrity evaluation by ellipsometric porosimetry , 2003 .

[15]  Alfred Grill,et al.  Ultralow-k dielectrics prepared by plasma-enhanced chemical vapor deposition , 2001 .

[16]  G. Ruan,et al.  Simulation of the dielectric constant of aerogels and estimation of their water content , 2000 .

[17]  M. E. Mills,et al.  Benzocyclobutene (DVS-BCB) polymer as an interlayer dielectric (ILD) material , 1997 .

[18]  Brian N. Cox,et al.  Fatigue of advanced materials , 1993 .

[19]  Y. H. Lee,et al.  Low dielectric fluorinated amorphous carbon thin films grown from C6F6 and Ar plasma , 2000 .

[20]  Cattien V. Nguyen,et al.  Determination of pore-size distribution in low-dielectric thin films , 2000 .

[21]  D. Avnir,et al.  Recommendations for the characterization of porous solids (Technical Report) , 1994 .

[22]  V. Valtchev,et al.  Mechanism of zeolite A nanocrystal growth from colloids at room temperature. , 1999, Science.

[23]  Marceline Bonvalot,et al.  High density plasma etching of low k dielectric polymers in oxygen-based chemistries , 2001 .

[24]  T. Klitsner,et al.  Thermal conductivity of thin films: Measurements and understanding , 1989 .

[25]  D. Michel,et al.  High-resolution solid-state NMR of silicates and zeolites , 1987 .

[26]  M. Albrecht,et al.  Materials issues with thin film hydrogen silsesquioxane low K dielectrics , 1998 .

[27]  H. Bender,et al.  Structural change in porous silica thin film after plasma treatment , 1999 .

[28]  E. Kondoh,et al.  Comparative Study of Pore Size of Low-Dielectric-Constant Porous Spin-on-Glass Films Using Different Methods of Nondestructive Instrumentation , 2001 .

[29]  F. Smits Measurement of sheet resistivities with the four-point probe , 1958 .

[30]  A. Evans,et al.  A Test Specimen for Determining the Fracture Resistance of Bimaterial Interfaces , 1989 .

[31]  Yoon-Hae Kim,et al.  Low-k Si–O–C–H composite films prepared by plasma-enhanced chemical vapor deposition using bis-trimethylsilylmethane precursor , 2000 .

[32]  M. Bhan,et al.  Deposition of stable, low κ and high deposition rate SiF4-doped TEOS fluorinated silicon dioxide (SiOF) films , 1997 .

[33]  H. Bender,et al.  Effects of oxygen and fluorine on the dry etch characteristics of organic low-k dielectrics , 1999 .

[34]  P. Atkins,et al.  Molecular Quantum Mechanics. 3rd edition , 2003 .

[35]  D. Zahn,et al.  Comparison of techniques to characterise the density, porosity and elastic modulus of porous low-k SiO 2 xerogel films , 2002 .

[36]  W. Yoo,et al.  Plasma Enhanced Chemical Vapor Deposition and Characterization of Fluorine Doped Silicon Dioxide Films , 1997 .

[37]  K. Maex,et al.  Process integration induced thermodesorption from SiO2/SiLK resin dielectric based interconnects , 1999 .

[38]  D. Edell,et al.  Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials , 2000 .

[39]  John S. Villarrubia,et al.  Nanoindentation of polymers: an overview , 2001 .

[40]  Antonino Nucara,et al.  OPTICS; ATOMS and MOLECULES; SPECTROSCOPY 1589 Progress in multipass tandem Fabry-Perot interferometry: I. A fully automated, easy to use, self-aligning spectrometer with increased stability and flexibility , 1999 .

[41]  G. Mannaert,et al.  Low temperature oxidation and selective etching of chemical vapor deposition a-SiC:H films , 2000 .

[42]  H. Nalwa Handbook of thin film materials , 2002 .

[43]  J. Cluzel,et al.  Integration of copper with an organic low-k dielectric in 0.12-µm node interconnect , 2002 .

[44]  T. Homma Properties of Fluorinated Silicon Oxide Films Formed Using Fluorotriethoxysilane for Interlayer Dielectrics in Multilevel Interconnections , 1996 .

[45]  C. Brinker,et al.  Sol-gel strategies for controlled porosity inorganic materials , 1994 .

[46]  Stephan A. Cohen,et al.  Doppler broadening positron annihilation spectroscopy: A technique for measuring open-volume defects in silsesquioxane spin-on glass films , 1999 .

[47]  T. Yonehara,et al.  Epitaxial growth on porous Si for a new bond and etchback silicon-on-insulator , 1995 .

[48]  Karen Maex,et al.  Frequency dependence in interline capacitance measurements , 2002, IEEE Trans. Instrum. Meas..

[49]  L. Gunnarsson,et al.  A self-assembled single-electron tunneling transistor , 1999 .

[50]  S. H. Lau,et al.  Advanced metrology for rapid characterization of the thermal mechanical properties of low-k dielectric and copper thin films , 2001 .

[51]  S. Grigull,et al.  In situ ERDA studies of ion drift processes during anodic bonding of alkali-borosilicate glass to metal , 1998 .

[52]  James P. Godschalx,et al.  Development of a Low‐Dielectric‐Constant Polymer for the Fabrication of Integrated Circuit Interconnect , 2000 .

[53]  F. Dultsev,et al.  Irregular Surface and Porous Structure of SiO2 Films Deposited at Low Temperature and Low Pressure , 1998 .

[54]  P. Paniez,et al.  Resist degradation under plasma exposure: Synergistic effects of ion bombardment , 1991 .

[55]  C. Brinker,et al.  Microporous Silica Prepared by Organic Templating: Relationship Between the Molecular Template and Pore Structure , 1999 .

[56]  E. Kondoh,et al.  Dehydration after plasma oxidation of porous low-dielectric-constant spin-on-glass films , 2000 .

[57]  K. Maex,et al.  Critical role of degassing for hot aluminum filling , 1998 .

[58]  D. Cahill,et al.  Thermal conductivity and sound velocities of hydrogen-silsesquioxane low-k dielectrics , 2002 .

[59]  T. Standaert,et al.  Characterization of Al, Cu, and TiN surface cleaning following a low-K dielectric etch , 1999 .

[60]  M. Baklanov,et al.  Determination of Young's Modulus of Porous Low-k Films by Ellipsometric Porosimetry , 2002 .

[61]  Y. Liu,et al.  Cure of Hydrogen Silsesquioxane for Intermetal Dielectric Applications , 1997 .

[62]  L. Leibler,et al.  Wetting on Grafted Polymer Films , 1997 .

[63]  V. Mitin,et al.  Molecular beam epitaxial growth of Si(001): a Monte Carlo study , 1995 .

[64]  T. Ogino,et al.  SUBLIMATION OF A HEAVILY BORON-DOPED SI(111) SURFACE , 1998 .

[65]  S. Sze,et al.  Effectively Blocking Copper Diffusion at Low-k Hydrogen Silsesquioxane/Copper Interface , 1999 .

[66]  Hans Arwin,et al.  Determination of pore size distribution and surface area of thin porous silicon layers by spectroscopic ellipsometry , 2001 .

[67]  Wei Chen,et al.  Low-k materials etching in magnetic neutral loop discharge plasma , 2001 .

[68]  A. Evans,et al.  Laser technique for evaluating spall resistance of brittle coatings , 1986 .

[69]  Y. Homma,et al.  Heat and Moisture Resistance of Siloxane-Based Low-Dielectric-Constant Materials , 2001 .

[70]  M. Grischke,et al.  Thermal gas evolution studies on a‐C:H:Ta films , 1991 .

[71]  Shinichi Ito,et al.  Application of Surface Reformed Thick Spin‐on‐Glass to MOS Device Planarization , 1990 .

[72]  M. Loboda,et al.  Understandillg hydrogen silsesquioxane-based dielectric film processing , 1998 .

[73]  Toshiyuki Horiuchi,et al.  Changes in refractive index and in chemical state of synchrotron radiation irradiated fluorinated polyimide films , 1996 .

[74]  P. D. Foo,et al.  Characterization of Carbon-Doped SiO2 Low k Thin Films: Preparation by Plasma-Enhanced Chemical Vapor Deposition from Tetramethylsilane , 2001 .

[75]  S. Shtrikman,et al.  A variational approach to the theory of the elastic behaviour of multiphase materials , 1963 .

[76]  Ray F. Egerton,et al.  Electron Energy-Loss Spectroscopy , 1997, Microscopy and Microanalysis.

[77]  K. Lynn,et al.  Porosity characterization by beam-based three-photon positron annihilation spectroscopy , 2001 .

[78]  M. Loboda,et al.  Safe Precursor Gas for Broad Replacement of SiH 4 in Plasma Processes Employed in Integrated Circuit Production , 1996 .

[79]  P. Wayner,et al.  Thermal conductivity study of porous low-k dielectric materials , 2000 .

[80]  U. Dahmen,et al.  High-Resolution-Electron-Microscopy Investigation of Nanosize Inclusions , 1997 .

[81]  T. Mountsier,et al.  Precursor selection for plasma deposited fluorinated amorphous carbon films , 1998 .

[82]  Wen-Li Wu,et al.  Study of ultra-thin hydrogen silsesquioxane films using X-ray reflectivity , 1998 .

[83]  Stephan A. Cohen,et al.  Open volume defects (measured by positron annihilation spectroscopy) in thin film hydrogen-silsesquioxane spin-on-glass; correlation with dielectric constant , 1999 .

[84]  C. Bamford,et al.  Comprehensive Chemical Kinetics , 1976 .

[85]  L. V. Sokolov,et al.  GeSi films with reduced dislocation density grown by molecular-beam epitaxy on compliant substrates based on porous silicon , 1999 .

[86]  José M. Martínez-Esnaola,et al.  Cross-sectional nanoindentation: a new technique for thin film interfacial adhesion characterization , 1999 .

[87]  S. Wolf,et al.  Silicon Processing for the VLSI Era , 1986 .

[88]  K. Kawazoe,et al.  METHOD FOR THE CALCULATION OF EFFECTIVE PORE SIZE DISTRIBUTION IN MOLECULAR SIEVE CARBON , 1983 .

[89]  T. Hattori,et al.  Preparation and characterization of low-k silica film incorporated with methylene groups , 2001 .

[90]  Tetsuya Homma,et al.  Low dielectric constant materials and methods for interlayer dielectric films in ultralarge-scale integrated circuit multilevel interconnections , 1998 .

[91]  Y. Homma,et al.  Oxygen Plasma Resistance of Low-k Organosilica Glass Films , 2001 .

[92]  T. Homma,et al.  Flow Characteristics of SiOF Films in Room Temperature Chemical Vapor Deposition Utilizing Fluoro‐Trialkoxy‐Silane Group and Pure Water as Gas Sources , 1993 .

[93]  J. Malzbender,et al.  Measuring mechanical properties of coatings: a methodology applied to nano-particle-filled sol–gel coatings on glass , 2002 .

[94]  A. Grill Electrical and optical properties of diamond-like carbon , 1999 .

[95]  M. Baklanov,et al.  Characterisation of Low-K Dielectric Films by Ellipsometric Porosimetry , 2000 .

[96]  M. Brett,et al.  Simulation of elevated temperature aluminum metallization using SIMBAD , 1992 .

[97]  Chun-Yen Chang,et al.  The Novel Improvement of Low Dielectric Constant Methylsilsesquioxane by N 2 O Plasma Treatment , 1999 .

[98]  M. Liang,et al.  Physical and Electrical Characteristics of F- and C-Doped Low Dielectric Constant Chemical Vapor Deposited Oxides , 2001 .

[99]  S. Sze,et al.  Effects of hydrogen on electrical and chemical properties of low-k hydrogen silsesquioxane as an intermetal dielectric for nonetchback processes , 1999 .

[100]  H. C. Foley,et al.  Curvature and parametric sensitivity in models for adsorption in micropores , 1991 .

[101]  M. Tomozawa,et al.  Porous silica materials as low-k dielectrics for electronic and optical interconnects , 2001 .

[102]  E. T. Ryan,et al.  Properties of nanoporous silica thin films determined by high-resolution x-ray reflectivity and small-angle neutron scattering , 2000 .

[103]  R. H. Havemann,et al.  High-performance interconnects: an integration overview , 2001, Proc. IEEE.

[104]  S. Woo,et al.  Study on the Properties of Interlayer Low Dielectric Polyimide during Cl-Based Plasma Etching of Aluminum , 1999, Digest of Papers. Microprocesses and Nanotechnology '99. 1999 International Microprocesses and Nanotechnology Conference.

[105]  Mark E. Davis Ordered porous materials for emerging applications , 2002, Nature.

[106]  Huajian Gao,et al.  Plasticity contributions to interface adhesion in thin-film interconnect structures , 2000 .

[107]  K. Maex,et al.  Compensation effect during water desorption from siloxane-based spin-on dielectric thin films , 2000 .

[108]  F. Mcgarry,et al.  Designing reliable polymer coatings , 1996 .

[109]  Tanemasa Asano,et al.  Effect of oxygen plasma exposure of porous spin-on-glass films , 2000 .

[110]  O. Richard,et al.  Enhancement of ALCVD TM TiN growth on Si-O-C and α-SiC:H films by O 2 -based plasma treatments , 2002 .

[111]  S. Sze,et al.  Effects of H2 plasma treatment on low dielectric constant methylsilsesquioxane , 1999 .

[112]  P. Chalker,et al.  A review of the methods for the evaluation of coating-substrate adhesion , 1991 .

[113]  D. Estève,et al.  Beyond the solid on solid model: An atomic dislocation formation mechanism , 1998 .

[114]  Willi Volksen,et al.  Templating Nanoporosity in Thin‐Film Dielectric Insulators , 1998 .

[115]  T. Tan,et al.  Surface mechanisms in O2 and SF6 microwave plasma etching of polymers , 1990 .

[116]  F. Dultsev,et al.  Nondestructive Determination of Pore Size Distribution in Thin Films Deposited on Solid Substrates , 1999 .

[117]  Andrew J. G. Strandjord,et al.  On the Mechanical Reliability of Photo-BCB-Based Thin Film Dielectric Polymer for Electronic Packaging Applications , 2000 .

[118]  Gerard T. Barkema,et al.  Monte Carlo Methods in Statistical Physics , 1999 .

[119]  L. Hrubesh,et al.  Dielectric properties of aerogels , 1993 .

[120]  Farhad Moghadam,et al.  Quantitative Measurement of Interface Fracture Energy in Multi-Layer Thin Film Structures , 1995 .

[121]  M. R. Baklanov,et al.  Determination of pore size distribution in thin films by ellipsometric porosimetry , 2000 .

[122]  A. Grill Amorphous carbon based materials as the interconnect dielectric in ULSI chips , 2001 .

[123]  S. Sze,et al.  Enhancing the Oxygen Plasma Resistance of Low-k Methylsilsesquioxane by H2 Plasma Treatment , 1999 .

[124]  Frank G. Shi,et al.  Thickness dependence of morphology and mechanical properties of on-wafer low-k PTFE dielectric films , 2000 .

[125]  R. Griffin HIGH-RESOLUTION SOLID-STATE , 1988 .

[126]  K. Gleason,et al.  Plasma-enhanced chemical vapor deposition of low-k dielectric films using methylsilane, dimethylsilane, and trimethylsilane precursors , 2003 .

[127]  E. Sleeckx,et al.  Properties of porous HSQ-based films capped by plasma enhanced chemical vapor deposition dielectric layers , 2002 .

[128]  Makoto Itoh,et al.  Atomic-scale homoepitaxial growth simulations of reconstructed III–V surfaces , 2001 .

[129]  R. Glang,et al.  Handbook of Thin Film Technology , 1970 .

[130]  T. Standaert,et al.  High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-N, and poly(arylene ether) , 2001 .

[131]  L. Cot,et al.  Fundamentals of inorganic membrane science and technology , 1996 .

[132]  R. Jacobsson,et al.  Measurement of the adhesion of thin films , 1976 .

[133]  Kimiaki Shimokawa,et al.  Low Dielectric Constant Interlayer Using Fluorine-Doped Silicon Oxide , 1994 .

[134]  G. Lucovsky,et al.  Stability of Si-O-F low-K dielectrics: Attack by water molecules as function of near-neighbor Si-F bonding arrangements , 1998 .

[135]  Ying-Lang Wang,et al.  X-Ray Reflectivity and FTIR Measurements of N 2 Plasma Effects on the Density Profile of Hydrogen Silsesquioxane Thin Films , 2001 .

[136]  P. Atkins,et al.  Molecular Quantum Mechanics , 1970 .

[137]  A. Perry,et al.  Scratch Adhesion Testing: A Critique , 1986 .

[138]  P. Wayner,et al.  Etching of xerogel in high-density fluorocarbon plasmas , 2000 .

[139]  A. Grill From tribological coatings to low-k dielectrics for ULSI interconnects , 2001 .

[140]  G. Yeom,et al.  Effects of H2 Addition in Magnetized Inductively Coupled C2F6 Plasma Etching of Silica Aerogel Film , 2000 .

[141]  M. Loboda,et al.  Properties of a ‐ SiO x : H Thin Films Deposited from Hydrogen Silsesquioxane Resins , 1998 .

[142]  Karen Maex,et al.  Factors affecting an efficient sealing of porous low-k dielectrics by physical vapor deposition Ta(N) thin films , 2002 .

[143]  C. Brinker,et al.  Sol-Gel Science: The Physics and Chemistry of Sol-Gel Processing , 1990 .

[144]  S. J. Gregg,et al.  Adsorption Surface Area and Porosity , 1967 .

[145]  F. Iacopi,et al.  Dependence of the minimal PVD TA(N) sealing thickness on the porosity of Zirkon TM LK Dielectric films , 2002 .

[146]  H. Kudo,et al.  Densified SiOF Film Formation for Preventing Water Absorption , 1995 .

[147]  Paul S. Ho,et al.  Low Dielectric Constant Materials for ULSI Interconnects , 2000 .

[148]  R. Antón,et al.  CORRELATION BETWEEN ISLAND-FORMATION KINETICS, SURFACE ROUGHENING, AND RHEED OSCILLATION DAMPING DURING GAAS HOMOEPITAXY , 1997 .

[149]  H. Chae,et al.  Etching chemistry of benzocyclobutene (BCB) low-k dielectric films in F2+O2 and Cl2+O2 high density plasmas , 2000 .

[150]  Mark L. Green,et al.  Ultrathin (<4 nm) SiO2 and Si-O-N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits , 2001 .

[151]  J. Livingston Electronic properties of engineering materials , 1999 .

[152]  R. Katsumata,et al.  Water Absorption Properties of Fluorine-Doped SiO2 Films Using Plasma-Enhanced Chemical Vapor Deposition , 1996 .

[153]  G. Carlotti,et al.  Comparative study of the elastic properties of silicate glass films grown by plasma enhanced chemical vapor deposition , 1996 .

[154]  B. Hillebrands,et al.  Critical properties of nanoporous low dielectric constant films revealed by Brillouin light scattering and surface acoustic wave spectroscopy , 2002 .

[155]  A. Yee,et al.  Probing Pore Characteristics in Low-K Thin Films Using Positronium Annihilation Lifetime Spectroscopy , 2000 .

[156]  Characterization of porous structure in ultra-low- K dielectrics by depositing thin conductive cap layers , 2003 .

[157]  M. Loboda New solutions for intermetal dielectrics using trimethylsilane-based PECVD processes , 1999 .

[158]  Salzmann,et al.  Vibrationally resolved photoelectron-spin-polarization spectroscopy of HI molecules. , 1992, Physical review. A, Atomic, molecular, and optical physics.

[159]  Jun Liu,et al.  Low dielectric constant mesoporous silica films through molecularly templated synthesis , 2000 .

[160]  C. Brinker,et al.  Self-assembled aerogel-like low dielectric constant films , 2001 .

[161]  Shui-Tong Lee,et al.  Oxide-Assisted Semiconductor Nanowire Growth , 1999 .

[162]  Tetsuo Tsutsui,et al.  Progress in Electroluminescent Devices Using Molecular Thin Films , 1997 .

[163]  J. Im,et al.  Silk Polymer Coating with Low Dielectric Constant and High Thermal Stability for Ulsi Interlayer Dielectric , 1997 .

[164]  M. Baklanov,et al.  Non-destructive characterisation of porous low-k dielectric films , 2002 .

[165]  T. Standaert,et al.  Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2 , 1999 .

[166]  Zhigang Suo,et al.  Sandwich test specimens for measuring interface crack toughness , 1989 .

[167]  F. Dultsev,et al.  Porous structure of SiO2 films synthesized at low temperature and pressure , 1989 .

[168]  Jeff Wetzel,et al.  Probing diffusion barrier integrity on porous silica low-k thin films using positron annihilation lifetime spectroscopy , 2001 .

[169]  P. Bodö,et al.  Adhesion of evaporated titanium films to ion‐bombarded polyethylene , 1986 .