Designing a Fast and Reliable Main Memory with Memristor Technology

Several memory vendors are pursuing different kinds of memory cells that can offer high density, non-volatility, high performance, and high endurance. In this work, we focus on Memristor technology and identify some of the significant problems in state-of-the-art implementations. These problems include sneak currents during reads and non-uniformity in cell behavior within an array. These problems manifest as long read latencies, long write latencies, and high cache line error rates. To address these problems, we introduce multiple innovations to a memristor memory system: (i) We employ a background sneak current read that can be amortized across several other data reads from the same column, thus introducing “open-column” semantics for memristor array access. (ii) We also introduce a novel data mapping policy that reduces multi-bit error rates in cache lines. However, this policy also increases the average write latency for a cache line. (iii) We overcome this drawback by introducing data compression and avoiding poorly behaving cells during writes. The result is a memristor memory system that performs 12% better and has 30X lower probability of suffering a two-bit error compared to the baseline.

[1]  Cong Xu,et al.  Design of cross-point metal-oxide ReRAM emphasizing reliability and cost , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[2]  Cong Xu,et al.  Design trade-offs for high density cross-point resistive memory , 2012, ISLPED '12.

[3]  Chang Hua Siau,et al.  A 0.13µm 64Mb multi-layered conductive metal-oxide memory , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[4]  Heng-Yuan Lee,et al.  A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability , 2011, 2011 IEEE International Solid-State Circuits Conference.

[5]  O. Richard,et al.  10×10nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation , 2011, 2011 International Electron Devices Meeting.

[6]  Tao Zhang,et al.  Overcoming the challenges of crossbar resistive memory architectures , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[7]  Frederick T. Chen,et al.  Evidence and solution of over-RESET problem for HfOX based resistive memory with sub-ns switching speed and high endurance , 2010, 2010 International Electron Devices Meeting.

[8]  Rajeev Balasubramonian,et al.  MemZip: Exploring unconventional benefits from memory compression , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

[9]  Masahide Matsumoto,et al.  A 130.7mm2 2-layer 32Gb ReRAM memory device in 24nm technology , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[10]  D. Ielmini,et al.  Physical interpretation, modeling and impact on phase change memory (PCM) reliability of resistance drift due to chalcogenide structural relaxation , 2007, 2007 IEEE International Electron Devices Meeting.