Low-Power SRAMs in Nanoscale

[1]  E. Morifuji,et al.  A 65nm low power CMOS platform with 0.495/spl mu/m/sup 2/ SRAM for digital processing and mobile applications , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[2]  M. Immediato,et al.  A pico-joule class, 1 GHz, 32 KByte/spl times/64 b DSP SRAM with self reverse bias , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[3]  Tahir Ghani,et al.  Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors , 2000, 2000 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.00CH37104).

[4]  Uming Ko,et al.  90nm low leakage SoC design techniques for wireless applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[5]  K. Itoh,et al.  Dynamic-Vt, dual-power-supply SRAM cell using D2G-SOI for low-power SoC application , 2004, 2004 IEEE International SOI Conference (IEEE Cat. No.04CH37573).

[6]  T. Sakurai,et al.  Row-by-row dynamic source-line voltage control (RRDSV) scheme for two orders of magnitude leakage current reduction of sub-1-V-VDD SRAM's , 2003, ISLPED '03.

[7]  N. Vallepalli,et al.  A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.

[8]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[9]  Kouichi Kanda,et al.  Two orders of magnitude leakage power reduction of low voltage SRAMs by row-by-row dynamic V/sub dd/ control (RRDV) scheme , 2002, 15th Annual IEEE International ASIC/SOC Conference.

[10]  S. Natarajan,et al.  A 65nm ultra low power logic platform technology using uni-axial strained silicon transistors , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[11]  M. Khellah,et al.  A 256-Kb Dual-${V}_{\rm CC}$ SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor , 2007, IEEE Journal of Solid-State Circuits.

[12]  S. Borkar,et al.  Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[13]  M. Sherony,et al.  65nm cmos technology for low power applications , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[14]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[15]  S. Shimada,et al.  A 300-MHz 25-/spl mu/A/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor , 2004, IEEE Journal of Solid-State Circuits.

[16]  Terence B. Hook,et al.  Ultralow-power SRAM technology , 2003, IBM J. Res. Dev..

[17]  K. Bernstein,et al.  Scaling, power, and the future of CMOS , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[18]  Lawrence T. Clark,et al.  Standby power management for a 0.18/spl mu/m microprocessor , 2002 .

[19]  N. Vallepalli,et al.  SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction , 2005, IEEE Journal of Solid-State Circuits.

[20]  K. Ishibashi,et al.  0.4-V logic-library-friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme , 2004, IEEE Journal of Solid-State Circuits.

[21]  P. Bai,et al.  A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 /spl mu/m/sup 2/ SRAM cell , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[22]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[23]  T. Sakurai,et al.  A CMOS scheme for 0.5 V supply voltage with pico-ampere standby current , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[24]  P. Bai,et al.  A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low k ILD, and 1 /spl mu/m/sup 2/ SRAM cell , 2002, Digest. International Electron Devices Meeting,.