Synthesis of variation tolerant clock distribution networks
暂无分享,去创建一个
[1] Sani R. Nassif,et al. Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[2] Malgorzata Marek-Sadowska,et al. Clock network sizing via sequential linear programming with time-domain analysis , 2004, ISPD '04.
[3] Wei Guo,et al. Analytical bound for unwanted clock skew due to wire width variation , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[4] Resve A. Saleh,et al. Clock skew verification in the presence of IR-drop in the powerdistribution network , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] Nasser A. Kurd,et al. A multigigahertz clocking scheme for the Pentium(R) 4 microprocessor , 2001, IEEE J. Solid State Circuits.
[6] Sachin S. Sapatnekar,et al. Hybrid structured clock network construction , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[7] Lawrence T. Pileggi,et al. Clustering and load balancing for buffered clock tree synthesis , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[8] J. Tao,et al. Electromigration characteristics of copper interconnects , 1993, IEEE Electron Device Letters.
[9] Yiran Chen,et al. Statistical based link insertion for robust clock network design , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[10] Rajeev Murgai,et al. An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[11] Rajeev Murgai,et al. Analyzing Timing Uncertainty in Mesh-based Clock Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[12] M.H. Lin,et al. Comparison of copper interconnect electromigration behaviors in various structures for advanced BEOL technology , 2004, Proceedings of the 11th International Symposium on the Physical and Failure Analysis of Integrated Circuits. IPFA 2004 (IEEE Cat. No.04TH8743).
[13] A. Gattiker,et al. Timing yield estimation from static timing analysis , 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.
[14] Peng Li,et al. Practical techniques to reduce skew and its variations in buffered clock networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[15] Malgorzata Marek-Sadowska,et al. A study of reliability issues in clock distribution networks , 2008, 2008 IEEE International Conference on Computer Design.
[16] Jeng-Liang Tsai,et al. A yield improvement methodology using pre- and post-silicon statistical clock scheduling , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[17] Pierre Bricaud,et al. Reuse methodology manual for system-on-chip designs , 1998 .
[18] Malgorzata Marek-Sadowska,et al. Low-power buffered clock tree design , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[19] Jason Cong,et al. Bounded-skew clock and Steiner routing , 1998, TODE.
[20] David Z. Pan,et al. MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks , 2008, 2008 Asia and South Pacific Design Automation Conference.
[21] Martin D. F. Wong,et al. An algorithm for zero-skew clock tree routing with buffer insertion , 1996, Proceedings ED&TC European Design and Test Conference.
[22] A. Krishnamoorthy,et al. Current crowding effect on copper dual damascene via bottom failure for ULSI applications , 2005, IEEE Transactions on Device and Materials Reliability.
[23] Cheng-Kok Koh,et al. UST/DME: a clock tree router for general skew constraints , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[24] Ruchir Puri,et al. Fast and accurate wire delay estimation for physical synthesis of large ASICs , 2002, GLSVLSI '02.
[25] Yi Liu,et al. Reliable buffered clock tree routing algorithm with process variation tolerance , 2005, Science in China Series F: Information Sciences.
[26] Chung-Kuan Cheng,et al. A mulitple level network approach for clock skew minimization with process variations , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
[27] David Z. Pan,et al. Robust chip-level clock tree synthesis for SOC designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[28] David Z. Pan,et al. TACO: temperature aware clock-tree optimization , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[29] J. Jensen,et al. Sizing of clock distribution networks for high performance CPU chips , 1996, 33rd Design Automation Conference Proceedings, 1996.
[30] Jan-Ming Ho,et al. Zero skew clock routing with minimum wirelength , 1992 .
[31] S. Naffziger,et al. Statistical clock skew modeling with data delay variations , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[32] Sachin S. Sapatnekar,et al. Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[33] Larry Pileggi,et al. IC Interconnect Analysis , 2002 .
[34] Li-Da Huang,et al. Explicit gate delay model for timing evaluation , 2003, ISPD '03.
[35] David Z. Pan,et al. Variation tolerant buffered clock network synthesis with cross links , 2006, ISPD '06.
[36] Ernest S. Kuh,et al. Post routing performance optimization via tapered link insertion and wiresizing , 1995, Proceedings of EURO-DAC. European Design Automation Conference.
[37] Ying Liu,et al. Impact of interconnect variations on the clock skew of a gigahertz microprocessor , 2000, DAC.
[38] Chee Lip Gan,et al. Joule heating-assisted electromigration failure mechanisms for dual damascene Cu/SiO/sub 2/ interconnects , 2003, Proceedings of the 10th International Symposium on the Physical and Failure Analysis of Integrated Circuits. IPFA 2003.
[39] Kyle Castille,et al. A 800 MHz system-on-chip for wireless infrastructure applications , 2004, 17th International Conference on VLSI Design. Proceedings..
[40] Sunil P. Khatri,et al. A novel clock distribution and dynamic de-skewing methodology , 2004, ICCAD 2004.
[41] Jiang Hu,et al. Improved algorithms for link-based non-tree clock networks for skew variability reduction , 2005, ISPD '05.
[42] Masato Edahiro,et al. A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.
[43] Jiang Hu,et al. Process variation aware clock tree routing , 2003, ISPD '03.
[44] Ronald A. Rohrer,et al. Electronic Circuit and System Simulation Methods , 1994 .
[45] Y.H. Chan,et al. 609 MHz G5 S/399 microprocessor , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).
[46] David Z. Pan,et al. Fast incremental link insertion in clock networks for skew variability reduction , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[47] Jiang Hu,et al. Combinatorial Algorithms for Fast Clock Mesh Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[48] R. K. Shyamasundar,et al. Introduction to algorithms , 1996 .
[49] Pak K. Chan,et al. Computing signal delay in general RC networks by tree/link partitioning , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[50] Dennis Sylvester,et al. Clock buffer and wire sizing using sequential programming , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[51] Jeng-Liang Tsai,et al. Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[52] Jiang Hu,et al. An efficient merging scheme for prescribed skew clock routing , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[53] Rochit Rajsuman. System-On-A-Chip: Design and Test , 2000 .
[54] Weiping Shi,et al. Process variation dimension reduction based on SVD [circuit simulation] , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[55] Rajasekhar Velamuri,et al. A 65nm C64x+ Multi-Core DSP Platform for Communications Infrastructure , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[56] Carlo Guardiani,et al. Impact analysis of process variability on clock skew , 2002, Proceedings International Symposium on Quality Electronic Design.
[57] R.-S. Tsay,et al. Exact zero skew , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[58] Andrew B. Kahng,et al. Near-optimal critical sink routing tree constructions , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[59] Lawrence T. Pillage,et al. Low power IC clock tree design , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.
[60] Chandu Visweswariah,et al. Death, taxes and failing chips , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[61] Jiang Hu,et al. Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..
[62] Chak-Kuen Wong,et al. Process-variation-tolerant clock skew minimization , 1994, ICCAD.
[63] Marios C. Papaefthymiou,et al. A clock tree topology extraction algorithm for improving the tolerance of clock distribution networks to delay uncertainty , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
[64] José Luis Neves,et al. Buffer library selection , 2000, Proceedings 2000 International Conference on Computer Design.
[65] Jens Lienig,et al. Embedded Tutorial: Electromigration-Aware Physical Design of Integrated Circuits , 2005 .
[66] Cheng-Kok Koh,et al. Power supply noise suppression via clock skew scheduling , 2002, Proceedings International Symposium on Quality Electronic Design.
[67] Malgorzata Marek-Sadowska,et al. Buffer sizing for clock power minimization subject to general skew constraints , 2004, Proceedings. 41st Design Automation Conference, 2004..
[68] S. Nguyen,et al. Implementation of a 3rd-generation SPARC V9 64 b microprocessor , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[69] J. Leeds,et al. Simplified Multiple Parameter Sensitivity Calculation and Continuously Equivalent Networks , 1967, IEEE Transactions on Circuit Theory.
[70] Rajeev Murgai,et al. A sliding window scheme for accurate clock mesh analysis , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[71] Jiang Hu,et al. Buffered clock tree for high quality IC design , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[72] Chung-Kuan Cheng,et al. Optimal buffered clock tree synthesis , 1994, Proceedings Seventh Annual IEEE International ASIC Conference and Exhibit.
[73] Lawrence T. Pileggi,et al. Reliable Non-Zero Skew Clock Trees Using Wire Width Optimization , 1993, 30th ACM/IEEE Design Automation Conference.
[74] Majid Sarrafzadeh,et al. Minimal buffer insertion in clock trees with skew and slew rate constraints , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[75] I. Blech. Electromigration in thin aluminum films on titanium nitride , 1976 .
[76] Eby G. Friedman,et al. Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.