Energy reduction for STT-RAM using early write termination

The emerging Spin Torque Transfer memory (STT-RAM) is a promising candidate for future on-chip caches due to STT-RAM's high density, low leakage, long endurance and high access speed. However, one of the major challenges of STT-RAM is its high write current, which is disadvantageous when used as an on-chip cache since the dynamic power generated is too high. In this paper, we propose Early Write Termination (EWT), a novel technique to significantly reduce write energy with no performance penalty. EWT can be implemented with low complexity and low energy overhead. Our evaluation shows that up to 80% of write energy reduction can be achieved through EWT, resulting 33% less total energy consumption, and 34% reduction in ED2. These results indicate that EWT is an effective and practical scheme to improve the energy efficiency of a STT-RAM cache.

[1]  Jian-Gang Zhu,et al.  Magnetoresistive Random Access Memory: The Path to Competitiveness and Scalability , 2008, Proceedings of the IEEE.

[2]  S. Ikeda,et al.  2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read , 2008, IEEE Journal of Solid-State Circuits.

[3]  Mikko H. Lipasti,et al.  On the value locality of store instructions , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[4]  Yuan Xie,et al.  Design space exploration for 3D architectures , 2006, JETC.

[5]  Saied N. Tehrani,et al.  A 1-Mbit MRAM based on 1T1MTJ bit cell integrated with copper interconnects , 2003, IEEE J. Solid State Circuits.

[6]  Yiran Chen,et al.  A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[7]  Y.J. Song,et al.  Two-bit cell operation in diode-switch phase change memory cells with 90nm technology , 2008, 2008 Symposium on VLSI Technology.

[8]  Jun Yang,et al.  A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.

[9]  H. Ohno,et al.  Current-Induced Magnetization Switching in MgO Barrier Magnetic Tunnel Junctions With CoFeB-Based Synthetic Ferrimagnetic Free Layers , 2008, IEEE Transactions on Magnetics.

[10]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of Spin-Torque Transfer Magnetic Random Access Memory (STT MRAM) array for yield enhancement , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[11]  Cheng-Wen Wu,et al.  Write Disturbance Modeling and Testing for MRAM , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  H. Hoenigschmid,et al.  A 16-Mb MRAM featuring bootstrapped write drivers , 2005, IEEE Journal of Solid-State Circuits.

[13]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[14]  Fredrik Larsson,et al.  Simics: A Full System Simulation Platform , 2002, Computer.

[15]  M. Hosomi,et al.  A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[16]  S. Lai,et al.  OUM - A 180 nm nonvolatile memory cell element technology for stand alone and embedded applications , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[17]  H. Hoenigschmid,et al.  A 16Mb MRAM featuring bootstrapped write drivers , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

[18]  Young-Tae Kim,et al.  Ge2Sb2Te5 Confined Structures and Integration of 64 Mb Phase-Change Random Access Memory , 2005 .

[19]  Yiran Chen,et al.  Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM) , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[20]  Anoop Gupta,et al.  SPLASH: Stanford parallel applications for shared-memory , 1992, CARN.

[21]  Mikko H. Lipasti,et al.  Silent stores for free , 2000, MICRO 33.

[22]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[23]  Yiran Chen,et al.  Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[24]  H. Ohno,et al.  A novel SPRAM (SPin-transfer torque RAM) with a synthetic ferrimagnetic free layer for higher immunity to read disturbance and reducing write-current dispersion , 2007, 2007 IEEE Symposium on VLSI Technology.