Compilation for compact power-gating controls
暂无分享,去创建一个
[1] Ibrahim N. Hajj,et al. Architectural and compiler techniques for energy reduction in high-performance microprocessors , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[2] Gilbert Laporte,et al. Generalized network design problems , 2003, Eur. J. Oper. Res..
[3] Ricardo E. Gonzalez,et al. Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.
[4] A.P. Chandrakasan,et al. Dual-threshold voltage techniques for low-power digital circuits , 2000, IEEE Journal of Solid-State Circuits.
[5] Eby G. Friedman,et al. Managing static leakage energy in microprocessor functional units , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[6] Richard J. Wallace,et al. Partial Constraint Satisfaction , 1989, IJCAI.
[7] Massoud Pedram,et al. Register Allocation and Binding for Low Power , 1995, 32nd Design Automation Conference.
[8] Sharad Malik,et al. Power analysis and minimization techniques for embedded DSP software , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[9] Eugene C. Freuder,et al. Partial Constraint Satisfaction , 1989, IJCAI.
[10] Wei Zhang,et al. Reducing instruction cache energy consumption using a compiler-based strategy , 2004, TACO.
[11] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[12] Alvin M. Despain,et al. Cache designs for energy efficiency , 1995, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences.
[13] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[14] Jenq Kuen Lee,et al. Compilers for leakage power reduction , 2006, TODE.
[15] LeeJenq Kuen,et al. Compilation for compact power-gating controls , 2007 .
[16] Santosh Pande,et al. Optimizing Static Power Dissipation by Functional Units in Superscalar Processors , 2002, CC.
[17] Vivek Tiwari,et al. Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[18] Takao Onoye,et al. High speed JPEG2000 encoder by configurable processor , 2002, Asia-Pacific Conference on Circuits and Systems.
[19] Arie M. C. A. Koster,et al. The partial constraint satisfaction problem: Facets and lifting theorems , 1998, Oper. Res. Lett..
[20] Vivek De,et al. Sub-90nm technologies: challenges and opportunities for CAD , 2002, ICCAD 2002.
[21] Anantha P. Chandrakasan,et al. Low-power CMOS digital design , 1992 .
[22] Wei Zhang,et al. Compiler support for reducing leakage energy consumption , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[23] G. Sohi,et al. A static power model for architects , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.
[24] B. Doyle. Poly Poly nitride Poly Poly Silicon Silicon Resist Silicon Silicon Silicon nitride Resist OxideOxide Oxide Oxide Silicon poly poly Oxide Oxide , 2002 .
[25] Guang R. Gao,et al. Exploiting Schedule Slacks for Rate-Optimal Power-Minimum Software Pipelining , 2002 .
[26] Sharad Malik,et al. Dynamic power management for microprocessors: a case study , 1997, Proceedings Tenth International Conference on VLSI Design.
[27] Jenq Kuen Lee,et al. Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors , 2002, LCPC.
[28] Kaushik Roy,et al. SYCLOP: synthesis of CMOS logic for low power applications , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
[29] Wayne Luk,et al. Strassen's matrix multiplication for customisable processors , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[30] M. Horowitz,et al. Low-power digital design , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.
[31] Mahmut T. Kandemir,et al. Leakage Current: Moore's Law Meets Static Power , 2003, Computer.
[32] Vivek De,et al. Sub-90 nm technologies-challenges and opportunities for CAD , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[33] Qiang Wu,et al. Architectural and compiler techniques for microprocessor power and performance management , 2006 .
[34] Jenq Kuen Lee,et al. Compiler optimization on VLIW instruction scheduling for low power , 2003, TODE.
[35] Rajiv Gupta,et al. Optimizing Stati Power Dissipation byFun tional Units in Supers alar Pro essors ? , 2002 .