Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV
暂无分享,去创建一个
Jörg Henkel | Javier Martin-Martinez | Hussam Amrouch | Victor M. van Santen | Montserrat Maqueda Nafria | M. Nafría | H. Amrouch | J. Henkel | J. Martín-Martínez
[1] Pranay Prabhat,et al. A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator , 2016, IEEE Journal of Solid-State Circuits.
[2] B. Kaczer,et al. A unified perspective of RTN and BTI , 2014, 2014 IEEE International Reliability Physics Symposium.
[3] Saurabh Dighe,et al. A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.
[4] Souvik Mahapatra,et al. Combined trap generation and transient trap occupancy model for time evolution of NBTI during DC multi-cycle and AC stress , 2015, 2015 IEEE International Reliability Physics Symposium.
[5] K. Shepard,et al. Analysis of Random Telegraph Noise in 45-nm CMOS Using On-Chip Characterization System , 2013, IEEE Transactions on Electron Devices.
[6] Montserrat Nafría,et al. Designing guardbands for instantaneous aging effects , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[7] Jie Ding,et al. Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: A review , 2014, Microelectronics and reliability.
[8] Yu Cao,et al. New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.
[9] Tibor Grasser,et al. Circuit simulation of workload-dependent RTN and BTI based on trap kinetics , 2014, Microelectron. Reliab..
[10] Mohammad Sharifkhani,et al. Statistical Analysis of Read Static Noise Margin for Near/Sub-Threshold SRAM Cell , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[11] K. Takeuchi,et al. Single-charge-based modeling of transistor characteristics fluctuations based on statistical measurement of RTN amplitude , 2006, 2009 Symposium on VLSI Technology.
[12] Mohab Anis,et al. Statistical Design of the 6T SRAM Bit Cell , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[13] Jörg Henkel,et al. Interdependencies of Degradation Effects and Their Impact on Computing , 2017, IEEE Design & Test.
[14] T. Grasser,et al. The time dependent defect spectroscopy (TDDS) for the characterization of the bias temperature instability , 2010, 2010 IEEE International Reliability Physics Symposium.
[15] Ru Huang,et al. A unified approach for trap-aware device/circuit co-design in nanoscale CMOS technology , 2013, 2013 IEEE International Electron Devices Meeting.
[16] Jörg Henkel,et al. Aging-aware voltage scaling , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] Jörg Henkel,et al. Impact of BTI on dynamic and static power: From the physical to circuit level , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).
[18] Javier Martin-Martinez,et al. New Weighted Time Lag Method for the Analysis of Random Telegraph Signals , 2014, IEEE Electron Device Letters.
[19] Alper Demir,et al. Accurate Prediction of Random Telegraph Noise Effects in SRAMs and DRAMs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Montserrat Nafría,et al. Unified characterization of RTN and BTI for circuit performance and variability simulation , 2012, 2012 Proceedings of the European Solid-State Device Research Conference (ESSDERC).
[21] Asen Asenov,et al. Progress in the simulation of time dependent statistical variability in nano CMOS transistors , 2014, 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).
[22] Mehdi Baradaran Tahoori,et al. A cross-layer analysis of Soft Error, aging and process variation in Near Threshold Computing , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[23] Ali M. Niknejad,et al. BSIM — Industry standard compact MOSFET models , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).
[24] Alan F. Murray,et al. Methodology of Statistical RTS Noise Analysis With Charge-Carrier Trapping Models , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[25] G. Groeseneken,et al. Time and workload dependent device variability in circuit simulations , 2011, 2011 IEEE International Conference on IC Design & Technology.
[26] T. Grasser,et al. Microscopic oxide defects causing BTI, RTN, and SILC on high-k FinFETs , 2015, 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).
[27] M. Alam,et al. A Comparative Study of Different Physics-Based NBTI Models , 2013, IEEE Transactions on Electron Devices.
[28] Jörg Henkel,et al. Reliability-aware design to suppress aging , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[29] Jörg Henkel,et al. Connecting the physical and application level towards grasping aging effects , 2015, 2015 IEEE International Reliability Physics Symposium.
[30] G. Groeseneken,et al. Atomistic approach to variability of bias-temperature instability in circuit simulations , 2011, 2011 International Reliability Physics Symposium.
[31] H. Reisinger,et al. Analysis of NBTI Degradation- and Recovery-Behavior Based on Ultra Fast VT-Measurements , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.
[32] Jörg Henkel,et al. Towards interdependencies of aging mechanisms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[33] Kiyoshi Takeuchi,et al. Comprehensive SRAM design methodology for RTN reliability , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.
[34] Saurabh Dighe,et al. IA-32 Processor with a Wide-Voltage-Operating Range in 32-nm CMOS , 2013, IEEE Micro.
[35] M. Nafría,et al. Probabilistic defect occupancy model for NBTI , 2011, 2011 International Reliability Physics Symposium.
[36] A. Lacaita,et al. Investigation of the RTN Distribution of Nanoscale MOS Devices From Subthreshold to On-State , 2013, IEEE Electron Device Letters.