An On-Line Timing Error Detection Method for Silicon Debug

Error detection and locating during the post-silicon stage is a critical concern in modern IC industry. Especially timing errors caused by uncertain variations and electrical bugs are by far lacking effective methods to debug. In order to meet this challenge, we propose an on-line timing error detection method, which uses the on-chip storage to hold the golden execution trace by running the test program under the lower frequency condition, followed by comparison of the stored golden trace against runtime trace acquired under the specified test condition. Timing errors are founded after some differences appeared during the trace comparison. This self-checking approach can detect tricky timing errors without the time-consuming software simulation and trace dumping, which accelerates the detection procedure by orders of magnitude. Besides, tracing internal key signals will find timing errors as soon as the error occurs, which can decrease the latency of error detection. The experiments on FPGA show it is effective to detect timing errors for a core-based system on chip (SoC) design and speeds up the timing error debug process with less than 2% hardware cost.

[1]  Bart Vermeulen,et al.  Silicon debug: scan chains alone are not enough , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[2]  Gérard Memmi,et al.  A reconfigurable design-for-debug infrastructure for SoCs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[3]  Nicola Nicolici,et al.  On using lossless compression of debug data in embedded logic analysis , 2007, 2007 IEEE International Test Conference.

[4]  Mack W. Riley,et al.  Debug of the CELL Processor: Moving the Lab into Silicon , 2006, 2006 IEEE International Test Conference.

[5]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[6]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[7]  Javier Hormigo,et al.  Multioperand Redundant Adders on FPGAs , 2013, IEEE Transactions on Computers.

[8]  Valeria Bertacco,et al.  Reversi: Post-silicon validation system for modern microprocessors , 2008, 2008 IEEE International Conference on Computer Design.

[9]  David Blaauw,et al.  Bubble Razor: An architecture-independent approach to timing-error detection and correction , 2012, 2012 IEEE International Solid-State Circuits Conference.

[10]  Chandramouli V. Kashyap,et al.  Silicon Speedpath Measurement and Feedback into EDA flows , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[11]  David Lin,et al.  QED: Quick Error Detection tests for effective post-silicon validation , 2010, 2010 IEEE International Test Conference.

[12]  Josep Torrellas,et al.  CADRE: Cycle-Accurate Deterministic Replay for Hardware Debugging , 2006, International Conference on Dependable Systems and Networks (DSN'06).

[13]  G. Edward Suh,et al.  Authentication of Processor Hardware Leveraging Performance Limits in Detailed Simulations and Emulations , 2010, Towards Hardware-Intrinsic Security.

[14]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[15]  Eli Singerman,et al.  Transaction based pre-to-post silicon validation , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  Subhasish Mitra,et al.  Post-silicon bug localization for processors using IFRA , 2010, Commun. ACM.

[17]  Lei Li,et al.  LDet: Determinizing Asynchronous Transfer for Postsilicon Debugging , 2013, IEEE Transactions on Computers.

[18]  Mihalis Psarakis,et al.  Accelerating microprocessor silicon validation by exposing ISA diversity , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[19]  Nicola Nicolici,et al.  Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Qiang Xu,et al.  On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation , 2010, 2010 19th IEEE Asian Test Symposium.

[21]  Jing Wang,et al.  Scan-Based Speed-Path Debug for a Microprocessor , 2012, IEEE Design & Test of Computers.

[22]  Kartik Mohanram,et al.  Masking timing errors on speed-paths in logic circuits , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[23]  Masahiro Fujita,et al.  Transaction-based post-silicon debug of many-core System-on-Chips , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).