Opportunistic redundancy for improving reliability of embedded processors

The downscaling of technology features has brought the system developers an important design criteria, reliability, into prime consideration. Among reliability concerns, transient fault caused by external radiation effects and temperature gradients is becoming a significant factor for the erroneous execution of embedded processors. State-of-the-art reliability-aware design techniques for embedded processors are yet to take complete advantage of the instruction set and application knowledge. In this work, we present reliability protection techniques for embedded processors which opportunistically take advantage of the hardware redundancy. Several policies based on the reliability requirements from the applications are introduced to explore the reliability-performance trade-off. The efficiency of proposed techniques are demonstrated by using several embedded processors.

[1]  Ross J. Anderson,et al.  Optical Fault Induction Attacks , 2002, CHES.

[2]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[3]  E. Normand Single event upset at ground level , 1996 .

[4]  Shu Lin,et al.  Error control coding : fundamentals and applications , 1983 .

[5]  S. Adve,et al.  RAMP : A Model for Reliability Aware MicroProcessor Design , 2003 .

[6]  Eric Rotenberg,et al.  AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).

[7]  Cristian Constantinescu,et al.  Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.

[8]  J. von Neumann,et al.  Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .

[9]  Dan Wang,et al.  Reliable Computing with Ultra-Reduced Instruction Set Coprocessors , 2014, IEEE Micro.

[10]  Rainer Leupers,et al.  A Fast and Flexible Platform for Fault Injection and Evaluation in Verilog-Based Simulations , 2009, 2009 Third IEEE International Conference on Secure Software Integration and Reliability Improvement.

[11]  Hiren D. Patel,et al.  Reliable computing with ultra-reduced instruction set co-processors , 2012, DAC Design Automation Conference 2012.

[12]  Timothy J. Slegel,et al.  IBM's S/390 G5 microprocessor design , 1999, IEEE Micro.

[13]  Heather M. Quinn,et al.  Vision for cross-layer optimization to address the dual challenges of energy and reliability , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[14]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[15]  Chao Chen,et al.  Fast reliability exploration for embedded processors via high-level fault injection , 2013, International Symposium on Quality Electronic Design (ISQED).

[16]  Todd M. Austin DIVA: A Dynamic Approach to Microprocessor Verification , 2000, J. Instr. Level Parallelism.

[17]  Daniel J. Costello,et al.  Error Control Coding, Second Edition , 2004 .

[18]  Rainer Leupers,et al.  LISA: A Uniform ADL for Embedded Processor Modeling, Implementation, and Software Toolsuite Generation , 2008 .

[19]  Neeraj Suri,et al.  Using Underutilized CPU Resources to Enhance Its Reliability , 2010, IEEE Transactions on Dependable and Secure Computing.

[20]  Ben H. H. Juurlink,et al.  Protective redundancy overhead reduction using instruction vulnerability factor , 2010, Conf. Computing Frontiers.

[21]  Edward J. McCluskey,et al.  ED4I: Error Detection by Diverse Data and Duplicated Instructions , 2002, IEEE Trans. Computers.