An Adaptive Thermal Management Framework for Heterogeneous Multi-Core Processors

Off-the-shelf embedded systems have adopted heterogeneous multi-core processors which have high-performance big cores and low-power small cores. Though there are two different types of cores in heterogeneous multi-core processors, conventional DVFS (Dynamic Voltage and Frequency Scaling)-based DTM (Dynamic Thermal Management) techniques do not utilize the different types of cores to cool down hot cores. Rather, they primarily reduce the voltage and frequency of the hot cores, leading to performance degradation. In this article, we propose a novel adaptive DTM framework for heterogeneous multi-core processors, which utilizes the big and small cores to prevent performance degradation. Our proposed framework exploits two migration-based DTM techniques: 1) a technique (denoted as Migrationbig↔big) that migrates applications from hot big cores (big cores whose temperature is above a pre-defined threshold) to cold big cores (big cores whose temperature is below the threshold) and 2) a technique (denoted as Migrationbig↔small) that migrates all applications from the big cores to the small cores. In case of thermal emergency of the big cores, our proposed framework checks the number of cold big cores. When there exist available cold big cores, our proposed framework employs Migrationbig↔big to cool down the hot big cores while not reducing the big core frequency. On the other hand, when there does not exist any available cold big core, our proposed framework employs one between Migrationbig↔small and a DVFS-based DTM technique, which is expected to result in better performance. In our experiments on an embedded development board, our proposed framework improves the average performance by 8.9 percent, compared to ARM's DVFS-based IPA (Intelligent Power Allocation), satisfying thermal constraints. Our framework also improves the average performance by 10.4 percent, compared to a state-of-the-art predictive DVFS-based DTM technique.

[1]  Luca Benini,et al.  WARM: Workload-Aware Reliability Management in Linux/Android , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Kevin Skadron,et al.  Predictive Temperature-Aware DVFS , 2010, IEEE Transactions on Computers.

[3]  Ann Gordon-Ross,et al.  High-Performance Energy-Efficient Multicore Embedded Computing , 2012, IEEE Transactions on Parallel and Distributed Systems.

[4]  Bashir M. Al-Hashimi,et al.  Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Vanchinathan Venkataramani,et al.  Power-performance modeling on asymmetric multi-cores , 2013, 2013 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[6]  Tristan Needham,et al.  A Visual Explanation of Jensen's Inequality , 1993 .

[7]  Kevin Kai-Wei Chang,et al.  DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators , 2016, ACM Trans. Archit. Code Optim..

[8]  Zhetao Li,et al.  Adaptive Dynamic Scheduling on Multifunctional Mixed-Criticality Automotive Cyber-Physical Systems , 2017, IEEE Transactions on Vehicular Technology.

[9]  Tei-Wei Kuo,et al.  User-Centric Scheduling and Governing on Mobile Devices with big.LITTLE Processors , 2016, ACM Trans. Embed. Comput. Syst..

[10]  Frank Bellosa,et al.  Event-Driven Thermal Management in SMP Systems , 2005 .

[11]  Umit Y. Ogras,et al.  Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Qinru Qiu,et al.  Distributed task migration for thermal management in many-core systems , 2010, Design Automation Conference.

[13]  Jaehyuk Huh,et al.  Big or Little: A Study of Mobile Interactive Applications on an Asymmetric Multi-core Platform , 2015, 2015 IEEE International Symposium on Workload Characterization.

[14]  Cheol Hong Kim,et al.  The impact of liquid cooling on 3D multi-core processors , 2009, 2009 IEEE International Conference on Computer Design.

[15]  Naehyuck Chang,et al.  Accurate Modeling of the Delay and Energy Overhead of Dynamic Voltage and Frequency Scaling in Modern Microprocessors , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Alan J. Weger,et al.  Thermal-aware task scheduling at the system software level , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[17]  Jürgen Teich,et al.  Power Density-Aware Resource Management for Heterogeneous Tiled Multicores , 2017, IEEE Transactions on Computers.

[18]  Sarma B. K. Vrudhula,et al.  Temperature-Aware DVFS for Hard Real-Time Applications on Multicore Processors , 2012, IEEE Transactions on Computers.

[19]  Vanchinathan Venkataramani,et al.  Hierarchical power management for asymmetric multi-core in dark silicon era , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Young Geun Kim,et al.  Stabilizing CPU Frequency and Voltage for Temperature-Aware DVFS in Mobile Devices , 2015, IEEE Transactions on Computers.

[21]  Yale N. Patt,et al.  Predicting Performance Impact of DVFS for Realistic Memory Systems , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[22]  Tajana Simunic,et al.  OS-level power minimization under tight performance constraints in general purpose systems , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[23]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[24]  Luca Benini,et al.  A Linux-governor based Dynamic Reliability Manager for android mobile devices , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  Young Geun Kim,et al.  A Survey on Recent OS-Level Energy Management Techniques for Mobile Processing Units , 2018, IEEE Transactions on Parallel and Distributed Systems.

[26]  Young Geun Kim,et al.  M-DTM: Migration-based dynamic thermal management for heterogeneous mobile multi-core processors , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[27]  Brad Calder,et al.  Using SimPoint for accurate and efficient simulation , 2003, SIGMETRICS '03.

[28]  Immanuel Raja,et al.  On-Chip Non-intrusive Temperature Detection and Compensation of a Fully Integrated CMOS RF Power Amplifier , 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).

[29]  Dawei Li,et al.  Theory and Analysis for Optimization of On-Chip Thermoelectric Cooling Systems , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Seungwook Paek,et al.  Hybrid Temperature Sensor Network for Area-Efficient On-Chip Thermal Map Sensing , 2015, IEEE Journal of Solid-State Circuits.

[31]  Charles-Alexis Lefebvre,et al.  Implementation of a fast relative digital temperature sensor to achieve thermal protection in Zynq SoC technology , 2017, Microelectron. Reliab..

[32]  Naehyuck Chang,et al.  Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[33]  Lieven Eeckhout,et al.  Scheduling heterogeneous multi-cores through performance impact estimation (PIE) , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[34]  Manish Marwah,et al.  Optimal Fan Speed Control for Thermal Management of Servers , 2009 .

[35]  Tajana Simunic,et al.  Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[36]  Naehyuck Chang,et al.  Exploiting Application/System-Dependent Ambient Temperature for Accurate Microarchitectural Simulation , 2013, IEEE Trans. Computers.

[37]  Diana Marculescu,et al.  TEI-Turbo: temperature effect inversion-aware turbo boost for finfet-based multi-core systems , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[38]  Luca Benini,et al.  Workload and user experience-aware Dynamic Reliability Management in multicore processors , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[39]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[40]  Hamid Noori,et al.  Proactive task migration with a self-adjusting migration threshold for dynamic thermal management of multi-core processors , 2014, The Journal of Supercomputing.

[41]  Kevin Skadron,et al.  Recent thermal management techniques for microprocessors , 2012, CSUR.

[42]  Tei-Wei Kuo,et al.  User-centric energy-efficient scheduling on multi-core mobile devices , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[43]  Geoff V. Merrett,et al.  Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[44]  Ümit Y. Ogras,et al.  Power-Temperature Stability and Safety Analysis for Multiprocessor Systems , 2017, ACM Trans. Embed. Comput. Syst..