Digital VLSI logic technology using Carbon Nanotube FETs: Frequently Asked Questions

Carbon nanotube field-effect transistors (CNFETs) show promise as extensions to silicon-CMOS. Ideal CNFET circuits can potentially provide 20X energy-delay-product benefits over silicon-CMOS at the 16 nm technology node. However, several challenges must be overcome before such performance benefits can be experimentally realized. In this paper, we present a brief overview of CNFET technology, and address commonly raised concerns through a series of frequently asked questions (FAQs). We also provide a CNFET technology outlook which includes a survey of challenges as well as existing and potential solutions to these challenges.

[1]  P. Avouris,et al.  Engineering Carbon Nanotubes and Nanotube Circuits Using Electrical Breakdown , 2001, Science.

[2]  H. Wong,et al.  Wafer-Scale Growth and Transfer of Aligned Single-Walled Carbon Nanotubes , 2009, IEEE Transactions on Nanotechnology.

[3]  S. Datta,et al.  Towards Multi-Scale Modeling of Carbon Nanotube Transistors , 2003, cond-mat/0312551.

[4]  H. Wong,et al.  Analytical ballistic theory of carbon nanotube transistors: Experimental validation, device physics, parameter extraction, and performance projection , 2008 .

[5]  P. Umek,et al.  Selective etching of metallic single-wall carbon nanotubes with hydrogen plasma , 2005, Nanotechnology.

[6]  Mehdi Baradaran Tahoori,et al.  Application-independent defect tolerance of reconfigurable nanoarchitectures , 2006, JETC.

[7]  A Javey,et al.  Polymer functionalization for air-stable n-type carbon nanotube field-effect transistors. , 2001, Journal of the American Chemical Society.

[8]  Douglas R. Kauffman,et al.  Carbon nanotube gas and vapor sensors. , 2008, Angewandte Chemie.

[9]  Hai Wei,et al.  A metallic-CNT-tolerant carbon nanotube technology using Asymmetrically-Correlated CNTs (ACCNT) , 2006, 2009 Symposium on VLSI Technology.

[10]  L. Qu,et al.  Preferential syntheses of semiconducting vertically aligned single-walled carbon nanotubes for direct use in FETs. , 2008, Nano letters.

[11]  S. Wong,et al.  Monolithic 3D Integrated Circuits , 2007, 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).

[12]  M. Shim,et al.  Noncovalent functionalization of carbon nanotubes for highly specific electronic biosensors , 2003, Proceedings of the National Academy of Sciences of the United States of America.

[13]  H. Dai,et al.  High performance n-type carbon nanotube field-effect transistors with chemically doped contacts. , 2004, Nano letters.

[14]  Ramesh Karri,et al.  Fault tolerant nanoelectronic processor architectures , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[15]  J. Rogers,et al.  High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes. , 2007, Nature nanotechnology.

[16]  H.-S. Philip Wong,et al.  Carbon Nanotube Transistor Circuits: Circuit-Level Performance Benchmarking and Design Options for Living with Imperfections , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[17]  J. Bokor,et al.  FinFET-a quasi-planar double-gate MOSFET , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[18]  P. Avouris,et al.  Self-aligned carbon nanotube transistors with charge transfer doping , 2005, cond-mat/0511039.

[19]  Anantha Chandrakasan,et al.  The design of a low power carbon nanotube chemical sensor system , 2007, 2008 45th ACM/IEEE Design Automation Conference.

[20]  André DeHon,et al.  Seven strategies for tolerating highly defective fabrication , 2005, IEEE Design & Test of Computers.

[21]  Hongjie Dai,et al.  Carbon nanotubes: synthesis, integration, and properties. , 2002, Accounts of chemical research.

[22]  H. Dai,et al.  Preferential Growth of Semiconducting Single-Walled Carbon Nanotubes by a Plasma Enhanced CVD Method , 2004 .

[23]  A. Rinzler,et al.  An Integrated Logic Circuit Assembled on a Single Carbon Nanotube , 2006, Science.

[24]  H. Wong,et al.  Circuit-Level Performance Benchmarking and Scalability Analysis of Carbon Nanotube Transistor Circuits , 2009, IEEE Transactions on Nanotechnology.

[25]  Seth Copen Goldstein,et al.  NanoFabrics: spatial computing using molecular electronics , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

[26]  M. Dresselhaus,et al.  Physical properties of carbon nanotubes , 1998 .

[27]  Nishant Patil,et al.  Carbon Nanotube circuits in the presence of carbon nanotube density variations , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[29]  Mark Mohammad Tehranipoor,et al.  A new hybrid FPGA with nanoscale clusters and CMOS routing , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[30]  P. Avouris,et al.  Carbon-based electronics. , 2007, Nature nanotechnology.

[31]  Henri Happy,et al.  Intrinsic current gain cutoff frequency of 30GHz with carbon nanotube transistors , 2007 .

[32]  Hongjie Dai,et al.  Carbon Nanotubes: Synthesis, Integration, and Properties , 2003 .

[33]  H. Wong,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.

[34]  H. Wong,et al.  Assembly and Electrical Characterization of Multiwall Carbon Nanotube Interconnects , 2008, IEEE Transactions on Nanotechnology.

[35]  H. Dai,et al.  Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction , 2006, Science.

[36]  A. Reina,et al.  Growth Mechanism of Long and Horizontally Aligned Carbon Nanotubes by Chemical Vapor Deposition , 2007 .

[37]  Phaedon Avouris,et al.  Thin film nanotube transistors based on self-assembled, aligned, semiconducting carbon nanotube arrays. , 2008, ACS nano.

[38]  H.-S. Philip Wong,et al.  First Demonstration of AC Gain From a Single-walled Carbon Nanotube Common-Source Amplifier , 2006, 2006 International Electron Devices Meeting.

[39]  Qian Wang,et al.  Advancements in complementary carbon nanotube field-effect transistors , 2003, IEEE International Electron Devices Meeting 2003.

[40]  S. Mitra,et al.  Threshold Voltage and On–Off Ratio Tuning for Multiple-Tube Carbon Nanotube FETs , 2009, IEEE Transactions on Nanotechnology.

[41]  Nishant Patil,et al.  Probabilistic Analysis and Design of Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[42]  Chongwu Zhou,et al.  Template-free directional growth of single-walled carbon nanotubes on a- and r-plane sapphire. , 2005, Journal of the American Chemical Society.

[43]  Douglas R. Kauffman,et al.  Electronically Monitoring Biological Interactions with Carbon Nanotube Field‐Effect Transistors , 2008 .

[44]  H.-S. Philip Wong,et al.  Carrier density and quantum capacitance for semiconducting carbon nanotubes , 2008 .

[45]  J. Rogers,et al.  Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates , 2008, Nature.

[46]  H.-S. Philip Wong,et al.  Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[47]  G. Gruner Carbon nanotube transistors for biosensing applications , 2006 .

[48]  Young Hee Lee,et al.  Preferential etching of metallic single-walled carbon nanotubes with small diameter by fluorine gas , 2006 .

[49]  S. Barman,et al.  Self-Sorted, Aligned Nanotube Networks for Thin-Film Transistors , 2008, Science.

[50]  Qian Wang,et al.  Electrical contacts to carbon nanotubes down to 1nm in diameter , 2005 .

[51]  Yu Cao,et al.  Compact modeling of carbon nanotube transistor for early stage process-design exploration , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[52]  Shinobu Fujita,et al.  A 1 GHz integrated circuit with carbon nanotube interconnects and silicon transistors. , 2008, Nano letters.

[53]  M. Lundstrom,et al.  Ballistic carbon nanotube field-effect transistors , 2003, Nature.

[54]  Herbert Shea,et al.  Single- and multi-wall carbon nanotube field-effect transistors , 1998 .

[55]  Giovanni De Micheli,et al.  Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[56]  Anantha Chandrakasan,et al.  A Low Power Carbon Nanotube Chemical Sensor System , 2008, 2007 IEEE Custom Integrated Circuits Conference.

[57]  Nishant Patil,et al.  Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits , 2008, 2008 Design, Automation and Test in Europe.

[58]  S. Tans,et al.  Room-temperature transistor based on a single carbon nanotube , 1998, Nature.

[59]  S. Fujita,et al.  Monolithic Integration of CMOS VLSI and Carbon Nanotubes for Hybrid Nanotechnology Applications , 2008, IEEE Transactions on Nanotechnology.

[60]  S. Datta,et al.  Performance projections for ballistic carbon nanotube field-effect transistors , 2002 .

[61]  Yan Li,et al.  Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits , 2007 .

[62]  H. Wong,et al.  Carbon Nanotube Quantum Capacitance for Nonlinear Terahertz Circuits , 2009, IEEE Transactions on Nanotechnology.

[63]  H.-S. Philip Wong,et al.  Carbon nanotube transistor compact model for circuit design and performance optimization , 2008, JETC.

[64]  John A. Rogers,et al.  Random networks and aligned arrays of single-walled carbon nanotubes for electronic device applications , 2008 .

[65]  H. Wong,et al.  Integrated wafer-scale growth and transfer of directional Carbon Nanotubes and misaligned-Carbon-Nanotube-immune logic structures , 2008, 2008 Symposium on VLSI Technology.

[66]  H.-S. Philip Wong,et al.  Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.