A fully parallel BIST-based method to test the crosstalk defects on the inter-switch links in NOC

Impact of crosstalk effects reduces the integrity of signals transmission on long interconnects which must be taken into consideration from the test point of view. Since use of ATE for at-speed test of crosstalk effects is very expensive, the BIST method is a proper method to perform such a test. In this paper, we propose a strategy in which all links among the switches of a regular 2-D NOC are tested in a fully parallel manner. The MVT patterns are generated by test pattern generators which are embedded in each of the switches and are applied to the links. To simultaneous test all links; the Quasi-synchronous method has been utilized for distributing of the clock across entire the NOC. In the proposed method not only test application time is reduced considerably, but also area overhead in overall NOC is reduced due to reuse of the first word of the output buffers of the switches for embedding the test pattern generators.

[1]  Partha Pratim Pande,et al.  Timing analysis of network on chip architectures for MP-SoC platforms , 2005, Microelectron. J..

[2]  Mehrdad Nourani,et al.  Signal integrity fault analysis using reduced-order modeling , 2002, DAC '02.

[3]  Srinivas Katkoori,et al.  Simultaneous Scheduling, Allocation, Binding, Re-Ordering, and Encoding for Crosstalk Pattern Minimization During High–Level Synthesis , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Tom Dhaene,et al.  Parameterized models for crosstalk analysis in high-speed interconnects , 2009, 2009 IEEE International Symposium on Electromagnetic Compatibility.

[5]  Altamiro Amadeu Susin,et al.  SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

[6]  Dhiraj K. Pradhan,et al.  Reuse-based test access and integrated test scheduling for network-on-chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[7]  Fernando Gehm Moraes,et al.  HERMES: an infrastructure for low area overhead packet-switching networks on chip , 2004, Integr..

[8]  Rolf Drechsler,et al.  Efficient test generation with maximal crosstalk-induced noise using unconstrained aggressor excitation , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[9]  Melvin A. Breuer,et al.  Validation and test generation for oscillatory noise in VLSI interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[10]  Ravishankar Arunachalam,et al.  A novel algorithm for testing crosstalk induced delay faults in VLSI circuits , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[11]  Mehrdad Nourani,et al.  Test pattern generation for signal integrity faults on long interconnects , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[12]  Sungho Kang,et al.  ATPG-XP: Test Generation for Maximal Crosstalk-Induced Faults , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Sungho Kang,et al.  MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs , 2007, J. Electron. Test..

[14]  F. Canavero,et al.  Crosstalk Analysis of Multiconductor Transmission Lines Based on Distributed Analytical Representation and Iterative Technique , 2010, IEEE Transactions on Electromagnetic Compatibility.

[15]  Drago Žagar,et al.  Crosstalk effects in ADSL systems , 2006 .

[16]  D. Anish,et al.  Minimization of crosstalk in high speed PCB , 2010, ICN 2010.

[17]  Érika F. Cota,et al.  Constraint-Driven Test Scheduling for NoC-Based Systems , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Ahmad Khademzadeh,et al.  An IEEE 1149.1-based BIST method for at-speed testing of inter-switch links in network on chip , 2010, Microelectron. J..

[19]  Alexandre M. Amory,et al.  Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism , 2006, Eleventh IEEE European Test Symposium (ETS'06).

[20]  Niu Chunping,et al.  Five modified boundary scan adaptive test generation algorithms , 2006 .

[21]  Srinivas Katkoori,et al.  Floorplan Driven High Level Synthesis for Crosstalk Noise Minimization in Macro-cell Based Designs , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[22]  Luigi Carro,et al.  Power-aware noc reuse on the testing of core-based systems , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[23]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[24]  Erik Jan Marinissen,et al.  A structured and scalable mechanism for test access to embedded reusable cores , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[25]  Jacob A. Abraham,et al.  Automatic test pattern generation for crosstalk glitches in digital circuits , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[26]  Jari Nurmi,et al.  Buffer implementation for Proteo network-on-chip , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[27]  Mehrdad Nourani,et al.  Testing SoC interconnects for signal integrity using extended JTAG architecture , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Giovanni De Micheli,et al.  Design, synthesis, and test of networks on chips , 2005, IEEE Design & Test of Computers.

[29]  Érika F. Cota,et al.  Power-aware test scheduling in network-on-chip using variable-rate on-chip clocking , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[30]  Mehrdad Nourani,et al.  Multiple transition model and enhanced boundary scan architecture to test interconnects for signal integrity , 2003, Proceedings 21st International Conference on Computer Design.

[31]  Katherine Shu-Min Li,et al.  IEEE 1500 Compatible Interconnect Test with Maximal Test Concurrency , 2009, 2009 Asian Test Symposium.

[32]  Melvin A. Breuer,et al.  Test generation for crosstalk-induced delay in integrated circuits , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[33]  A. Ivanov,et al.  A packet switching communication-based test access mechanism for system chips , 2001, IEEE European Test Workshop, 2001..

[34]  Radu Marculescu,et al.  DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..

[35]  Jaehoon Song,et al.  Interconnect Delay Fault Test on Boards and SoCs with Multiple Clock Domains , 2006, 2006 IEEE International Test Conference.

[36]  Eric Bogatin,et al.  Signal and Power Integrity - Simplified , 2009 .

[37]  Partha Pratim Pande,et al.  BIST for network-on-chip interconnect infrastructures , 2006, 24th IEEE VLSI Test Symposium.

[38]  Sujit Dey,et al.  Fault modeling and simulation for crosstalk in system-on-chip interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).