Optimizing bus energy consumption of on-chip multiprocessors using frequent values

Chip multiprocessors (CMP) are a convenient way of leveraging from the technological trends to build high-end and embedded systems that are performance and power efficient, while exhibiting attractive properties such as scalability, reliability and ease of design. However, the onchip interconnect for moving the data between the processors, and between the processors and memory subsystem, plays a crucial role in CMP design. We present a novel approach to optimizing its power by exploiting the value locality in data transfers between processors. A communicating value cache (CVC) is proposed to reduce the number of bits transferred on the interconnect, and simulation results with several parallel applications show significant energy savings with this mechanism. Results show that the importance of our proposal will become even more significant in the future.

[1]  Mahmut Kandemir,et al.  Power protocol: reducing power dissipation on off-chip data buses , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[2]  Norbert Podhorszki,et al.  Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing , 2002 .

[3]  Seh-Woong Jeong,et al.  A Low Power TLB Structure for Embedded Systems , 2002, IEEE Computer Architecture Letters.

[4]  Shubhendu S. Mukherjee,et al.  The Alpha 21364 network architecture , 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.

[5]  Jun Yang,et al.  FV encoding for low-power data I/O , 2001, ISLPED '01.

[6]  Cristina Silvano,et al.  Power estimation for architectural exploration of HW/SW communication on system-level buses , 1999, CODES '99.

[7]  Kunle Olukotun,et al.  The Stanford Hydra CMP , 2000, IEEE Micro.

[8]  Larry Rudolph,et al.  Creating a wider bus using caching techniques , 1995, Proceedings of 1995 1st IEEE Symposium on High Performance Computer Architecture.

[9]  Mircea R. Stan,et al.  Low-power encodings for global communication in CMOS VLSI , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Mary Jane Irwin,et al.  Some issues in gray code addressing , 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

[11]  Fredrik Larsson,et al.  Simics: A Full System Simulation Platform , 2002, Computer.

[12]  Luca Benini,et al.  Low power error resilient encoding for on-chip data buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[13]  Jun Yang,et al.  Frequent value compression in data caches , 2000, MICRO 33.

[14]  Mikko H. Lipasti Value locality and speculative execution , 1998 .

[15]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[16]  M. Kandemir,et al.  An integer linear programming based approach for parallelizing applications in on-chip multiprocessors , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[17]  Hui Zhang,et al.  Low-swing interconnect interface circuits , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[18]  Hugh Garraway Parallel Computer Architecture: A Hardware/Software Approach , 1999, IEEE Concurrency.

[19]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.