A Cortex-M3 Based MCV Featuring AVS with 34nW Static Power, 15.3pJ/inst. Active Energy, and 16% Power Variation Across Process and Temperature

Power and energy efficiency poses a prime constraint on IoT SoCs. Dynamic voltage and frequency scaling (DVFS) - in combination with adaptive voltage scaling (AVS) - can trade performance for power matching the workload. However, classic timing closure leads to substantial power variations across process and temperature, especially from SS/Cold to FF/Hot due to leakage. This paper presents an ARM Cortex-M3 based MCU with integrated voltage regulator featuring AVS, which achieves for the CPU incl. 8kB SRAM 34nW static power, 15.3pJ/instruction active energy and 16% power variations across process and temperature (-40-120°C) corners measured in silicon. The digital subsystem employs a new replica scheme combining replica circuits and in-situ monitors to track critical paths under the inter/intra-die variations. Mandating AVS, we show a novel methodology for logic synthesis with optimal usage percentage per $V^{\mathrm{th}}$ level, at which point the power consumption is balanced and minimized across process and temperature.

[1]  Pranay Prabhat,et al.  A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator , 2016, IEEE Journal of Solid-State Circuits.

[2]  Fady Abouzeid,et al.  A 2.7pJ/cycle 16MHz SoC with 4.3nW power-off ARM Cortex-M0+ core in 28nm FD-SOI , 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

[3]  James Tschanz,et al.  8.4 Post-silicon voltage-guard-band reduction in a 22nm graphics execution core using adaptive voltage scaling and dynamic power gating , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[4]  Mahesh Mehendale,et al.  8.3 A 10.5μA/MHz at 16MHz single-cycle non-volatile memory access microcontroller with full state retention at 108nA in a 90nm process , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[5]  Jonathan White,et al.  Steamroller Module and Adaptive Clocking System in 28 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.

[6]  Anand Savanth,et al.  A 12.4pJ/cycle sub-threshold, 16pJ/cycle near-threshold ARM Cortex-M0+ MCU with autonomous SRPG/DVFS and temperature tracking clocks , 2017, 2017 Symposium on VLSI Circuits.

[7]  Ulrich Rückert,et al.  A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control , 2013, IEEE Journal of Solid-State Circuits.

[8]  Davide De Caro Glitch-Free NAND-Based Digitally Controlled Delay-Lines , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.