A power management architecture for fast per-core DVFS in heterogeneous MPSoCs

This paper presents a power management architecture for MPSoCs that allows fast switching between multiple onchip supply voltage levels per core. Operation is based on distinct scenarios for power-up and supply level change, with individual numbers of pre-charge switches for supply noise reduction. The power management controller is highly configurable for adaption to a wide range of supply network parasitics in heterogeneous MPSoCs. This architecture has been validated by measurements in 65nm CMOS technology. Power-up and DVFS level changes can be performed in less than 20ns with reduced parasitic voltage drop of active cores.

[1]  Sriram R. Vangal,et al.  A 2 Tb/s 6$\,\times\,$ 4 Mesh Network for a Single-Chip Cloud Computer With DVFS in 45 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.

[2]  Yu Wang,et al.  Power Gating Aware Task Scheduling in MPSoC , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  David Howard,et al.  Challenges in sleep transistor design and implementation in low-power designs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[4]  Azadeh Davoodi,et al.  Wake-up protocols for controlling current surges in MTCMOS-based technology , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[5]  D. Schmitt-Landsiedel,et al.  Sleep transistor circuits for fine-grained power switch-off with short power-down times , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[6]  Sebastian Hoppner,et al.  An open-loop clock generator for fast frequency scaling in 65nm CMOS technology , 2011, Proceedings of the 18th International Conference Mixed Design of Integrated Circuits and Systems - MIXDES 2011.

[7]  Bevan M. Baas,et al.  Dynamic voltage and frequency scaling circuits with two supply voltages , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[8]  Zhiyi Yu,et al.  A 167-Processor Computational Platform in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[9]  Antonia Zhai,et al.  Enabling improved power management in multicore processors through clustered DVFS , 2010, 2011 Design, Automation & Test in Europe.

[10]  Dongsheng Ma,et al.  Enabling Power-Efficient DVFS Operations on Silicon , 2010, IEEE Circuits and Systems Magazine.

[11]  Suhwan Kim,et al.  Understanding and minimizing ground bounce during mode transition of power gating structures , 2003, ISLPED '03.

[12]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.