Machine Learning for Electronic Design Automation: A Survey
暂无分享,去创建一个
Yu Wang | Xuefei Ning | Bei Yu | Huazhong Yang | Kai Zhong | Yuzhe Ma | Hengrui Zhang | Yifan He | Juejian Wu | Haoyu Yang | Guyue Huang | Yuanfan Xu | Zhaoyang Shen | Jingbo Hu | Jialong Liu | Mingyuan Ma | Kai Zhong | Jialong Liu | Huazhong Yang | Bei Yu | Juejian Wu | Yu Wang | Haoyu Yang | Yuzhe Ma | Xuefei Ning | Mingyuan Ma | Hengrui Zhang | Jingbo Hu | Yuanfan Xu | Guyue Huang | Yifan He | Zhaoyang Shen
[1] Bei Yu,et al. Global Placement with Deep Learning-Enabled Explicit Routability Optimization , 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[2] Bei Yu,et al. Efficient Layout Hotspot Detection via Binarized Residual Neural Network Ensemble , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Jiaqi Gu,et al. DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] Zhiru Zhang,et al. Accurate Operation Delay Prediction for FPGA HLS Using Graph Neural Networks , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[5] Bei Yu,et al. Hotspot Detection via Attention-based Deep Layout Metric Learning , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[6] Sachin S. Sapatnekar,et al. A Customized Graph Neural Network Model for Guiding Analog IC Placement , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[7] Yanqing Zhang,et al. Opportunities for RTL and Gate Level Simulation using GPUs (Invited Talk) , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[8] Tsung-Wei Huang,et al. A General-purpose Parallel and Heterogeneous Task Programming System for VLSI CAD , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[9] Evangeline F. Y. Young,et al. PROS: A Plug-in for Routability Optimization applied in the State-of-the-art commercial EDA tool using deep learning , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[10] Chen Zhao,et al. Empyrean ALPS-GT: GPU-accelerated Analog Circuit Simulation , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[11] Han Zhou,et al. GridNet: Fast Data-Driven EM-Induced IR Drop Prediction and Localized Fixing for On-Chip Power Grid Networks* , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[12] Yiran Chen,et al. Routing-Free Crosstalk Prediction , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[13] Sung Kyu Lim,et al. VLSI Placement Parameter Optimization using Deep Reinforcement Learning , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[14] Evangeline F. Y. Young,et al. Neural-ILT: Migrating ILT to Neural Networks for Mask Printability and Complexity Co-optimization , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[15] Bei Yu,et al. Learn to Floorplan through Acquisition of Effective Local Search Heuristics , 2020, 2020 IEEE 38th International Conference on Computer Design (ICCD).
[16] Sachin S. Sapatnekar,et al. A general approach for identifying hierarchical symmetry constraints for analog circuit layout , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[17] Bei Yu,et al. DAMO: Deep Agile Mask Optimization for Full Chip Scale , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[18] Zi Wang,et al. Machine Leaming to Set Meta-Heuristic Specific Parameters for High-Level Synthesis Design Space Exploration , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[19] Sung Kyu Lim,et al. TP-GNN: A Graph Neural Network Framework for Tier Partitioning in Monolithic 3D ICs , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[20] Chandramouli V. Kashyap,et al. MLParest: Machine Learning based Parasitic Estimation for Custom Circuit Design , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[21] David Z. Pan,et al. Closing the Design Loop: Bayesian Optimization Assisted Hierarchical Analog Layout Synthesis , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[22] Walker J. Turner,et al. ParaGraph: Layout Parasitics and Device Parameter Prediction using Graph Neural Networks , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[23] Brucek Khailany,et al. GRANNITE: Graph Neural Network Inference for Transferable Power Estimation , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[24] Ramesh Harjani,et al. Exploring a Machine Learning Approach to Performance Driven Analog IC Placement , 2020, 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[25] Yayi Wei,et al. Semisupervised Hotspot Detection With Self-Paced Multitask Learning , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[26] Song Han,et al. GCN-RL Circuit Designer: Transferable Transistor Sizing with Graph Neural Networks and Reinforcement Learning , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[27] Quoc V. Le,et al. Chip Placement with Deep Reinforcement Learning , 2020, ArXiv.
[28] Hua Xiang,et al. DRC Hotspot Prediction at Sub-10nm Process Nodes Using Customized Convolutional Network , 2020, ISPD.
[29] David Z. Pan,et al. Towards Decrypting the Art of Analog Layout: Placement Quality Prediction via Transfer Learning , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[30] Sachin S. Sapatnekar,et al. GANA: Graph Convolutional Network Based Automated Netlist Annotation for Analog Circuits , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[31] Hongxiang Fan,et al. Improving Performance Estimation for FPGA-Based Accelerators for Convolutional Neural Networks , 2020, ARC.
[32] B. Nikolić,et al. AutoCkt: Deep Reinforcement Learning of Analog Circuit Designs , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[33] David Z. Pan,et al. High-Definition Routing Congestion Prediction for Large-Scale FPGAs , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[34] Nan Sun,et al. S3DET: Detecting System Symmetry Constraints for Analog Circuits with Graph Similarity , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[35] Yiran Chen,et al. FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[36] Yiran Chen,et al. PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[37] Sachin S. Sapatnekar,et al. Template-based PDN Synthesis in Floorplan and Placement Using Classifier and CNN Techniques , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[38] Wei Zhong,et al. VLSI Mask Optimization: From Shallow To Deep Learning , 2019, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[39] Mohamed Shalan,et al. DRiLLS: Deep Reinforcement Learning for Logic Synthesis , 2019, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[40] Michael Rotman,et al. Electric Analog Circuit Design with Hypernetworks And A Differential Simulator , 2019, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
[41] Joydeep Mitra,et al. SRAF Insertion via Supervised Dictionary Learning , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[42] Natalia Gimelshein,et al. PyTorch: An Imperative Style, High-Performance Deep Learning Library , 2019, NeurIPS.
[43] R. D. Blanton,et al. Improving Test Chip Design Efficiency via Machine Learning , 2019, 2019 IEEE International Test Conference (ITC).
[44] Sung Kyu Lim,et al. GAN-CTS: A Generative Adversarial Framework for Clock Tree Prediction and Optimization , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[45] Nan Sun,et al. GeniusRoute: A New Analog Routing Paradigm Using Generative Neural Network Guidance , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[46] Pierre-Emmanuel Gaillardon,et al. LSOracle: a Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[47] Andrew B. Kahng,et al. IncPIRD: Fast Learning-Based Prediction of Incremental IR Drop , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[48] Jerry Zhao,et al. Simmani: Runtime Power Modeling for Arbitrary RTL with Automatic Signal Selection , 2019, MICRO.
[49] Andrew B. Kahng,et al. RePlAce: Advancing Solution Quality and Routability Validation in Global Placement , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[50] Liang Zhao,et al. Pyramid: Machine Learning Framework to Estimate the Optimal Timing and Resource Usage of a High-Level Synthesis Design , 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).
[51] Vladimir Stojanovic,et al. BagNet: Berkeley Analog Generator with Layout Optimizer Boosted with Deep Neural Networks , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[52] Xuan Zeng,et al. Faster Region-based Hotspot Detection , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[53] Luca P. Carloni,et al. A Learning-Based Recommender System for Autotuning Design FIows of Industrial High-Performance Processors , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[54] Yiran Chen,et al. Machine Learning-Based Pre-Routing Timing Prediction with Reduced Pessimism , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[55] Nan Sun,et al. WellGAN: Generative-Adversarial-Network-Guided Well Generation for Analog/Mixed-Signal Circuit Layout , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[56] Yuan Zhou,et al. PRIMAL: Power Inference using Machine Learning , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[57] Brucek Khailany,et al. High Performance Graph ConvolutionaI Networks with Applications in Testability Analysis , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[58] Po-Cheng Pan,et al. Late Breaking Results: An Efficient Learning-based Approach for Performance Exploration on Analog and RF Circuit Synthesis , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[59] Dina Katabi,et al. Circuit-GNN: Graph Neural Networks for Distributed Circuit Design , 2019, ICML.
[60] Daijoon Hyun,et al. Accurate Wirelength Prediction for Placement-Aware Synthesis through Machine Learning , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[61] Nikolaj Bjørner,et al. Guiding High-Performance SAT Solvers with Unsat-Core Predictions , 2019, SAT.
[62] Jieru Zhao,et al. Machine Learning Based Routing Congestion Prediction in FPGA High-Level Synthesis , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[63] Avesta Sasan,et al. XPPE: cross-platform performance estimation of hardware accelerators using machine learning , 2019, ASP-DAC.
[64] Ya-Chieh Lai,et al. Detecting multi-layer layout hotspots with adaptive squish patterns , 2019, ASP-DAC.
[65] Andrew B. Kahng,et al. Learning-based prediction of package power delivery network quality , 2019, ASP-DAC.
[66] Markus Weimer,et al. Learning To Solve Circuit-SAT: An Unsupervised Differentiable Approach , 2018, ICLR.
[67] Yuzhe Ma,et al. Cross-Layer Optimization for High Speed Adders: A Pareto Driven Machine Learning Approach , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[68] David L. Dill,et al. Learning a SAT Solver from Single-Bit Supervision , 2018, ICLR.
[69] Wei Ye,et al. LithoGAN : End-to-End Lithography Modeling with Generative Adversarial Networks , 2019 .
[70] Nuno Horta,et al. Using ANNs to Size Analog Integrated Circuits , 2019 .
[71] Barnabás Póczos,et al. Learning Local Search Heuristics for Boolean Satisfiability , 2019, NeurIPS.
[72] Song Han,et al. Learning to Design Circuits , 2018, ArXiv.
[73] Partha Pratim Pande,et al. Machine Learning for Design Space Exploration and Optimization of Manycore Systems , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[74] Yiran Chen,et al. RouteNet: Routability prediction for Mixed-Size Designs Using Convolutional Neural Network , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[75] Philip Brisk,et al. HLSPredict: Cross Platform Performance Prediction for FPGA High-Level Synthesis , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[76] Nobukazu Takai,et al. Inference of Suitable for Required Specification Analog Circuit Topology using Deep Learning , 2018, 2018 International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS).
[77] Sanjit A. Seshia,et al. Learning Heuristics for Automated Reasoning through Deep Reinforcement Learning , 2018, ArXiv.
[78] Gary William Grewal,et al. Machine-Learning Based Congestion Estimation for Modern FPGAs , 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).
[79] Nima Tajbakhsh,et al. UNet++: A Nested U-Net Architecture for Medical Image Segmentation , 2018, DLMIA/ML-CDS@MICCAI.
[80] Yuzhe Ma,et al. GAN-OPC: Mask Optimization with Lithography-guided Generative Adversarial Nets , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[81] Ya Wang,et al. HFMV: Hybridizing Formal Methods and Machine Learning for Verification of Analog and Mixed-Signal Circuits , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[82] Shahin Nazarian,et al. Accelerating Coverage Directed Test Generation for Functional Verification: A Neural Network-based Framework , 2018, ACM Great Lakes Symposium on VLSI.
[83] Giovanni De Micheli,et al. Deep Learning for Logic Optimization Algorithms , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).
[84] Wei-Kai Cheng,et al. Evaluation of routability-driven macro placement with machine-learning technique , 2018, 2018 7th International Symposium on Next Generation Electronics (ISNE).
[85] Giovanni De Micheli,et al. Developing Synthesis Flows Without Human Knowledge , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[86] Evangeline F. Y. Young,et al. Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning , 2018, 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
[87] Sung Kyu Lim,et al. Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs , 2018, ISPD.
[88] Zhijian Pan,et al. Low-cost high-accuracy variation characterization for nanoscale IC technologies via novel learning-based techniques , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[89] Andreas Gerstlauer,et al. Learning-Based, Fine-Grain Power Modeling of System-Level Hardware IPs , 2018, ACM Trans. Design Autom. Electr. Syst..
[90] Yuan Zhou,et al. Rosetta: A Realistic High-Level Synthesis Benchmark Suite for Software Programmable FPGAs , 2018, FPGA.
[91] Richard Evans,et al. Can Neural Networks Understand Logical Entailment? , 2018, ICLR.
[92] Andrew B. Kahng,et al. New directions for learning-based IC design tools and methodologies , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
[93] Ole Winther,et al. Recurrent Relational Networks for Complex Relational Reasoning , 2018, ArXiv.
[94] Kris Gaj,et al. Minerva: Automated hardware optimization tool , 2017, 2017 International Conference on ReConFigurable Computing and FPGAs (ReConFig).
[95] Daniel R. Tauritz,et al. Improving performance of CDCL SAT solvers by automated design of variable selection heuristics , 2017, 2017 IEEE Symposium Series on Computational Intelligence (SSCI).
[96] Evangeline F. Y. Young,et al. Clock-aware ultrascale FPGA placement with machine learning routability prediction: (Invited paper) , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[97] Demis Hassabis,et al. Mastering the game of Go without human knowledge , 2017, Nature.
[98] Di Gao,et al. Accelerating chip design with machine learning: From pre-silicon to post-silicon , 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).
[99] Krzysztof Czarnecki,et al. An Empirical Study of Branching Heuristics Through the Lens of Global Learning Rate , 2017, SAT.
[100] Chenxi Lin,et al. Imbalance aware lithography hotspot detection: a deep learning approach , 2017 .
[101] Takashi Sato,et al. LSTA: Learning-based static timing analysis for high-dimensional correlated on-chip variations , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[102] Evangeline F. Y. Young,et al. Layout hotspot detection with feature tensor generation and deep biased learning , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[103] Le Song,et al. 2 Common Formulation for Greedy Algorithms on Graphs , 2018 .
[104] Madhavan Swaminathan,et al. Application of Machine Learning for Optimization of 3-D Integrated Circuits and Systems , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[105] Sung Kyu Lim,et al. Shrunk-2-D: A Physical Design Methodology to Build Commercial-Quality Monolithic 3-D ICs , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[106] Samy Bengio,et al. Neural Combinatorial Optimization with Reinforcement Learning , 2016, ICLR.
[107] Guoqing Chen,et al. Machine Learning Based Variation Modeling and Optimization for 3D ICs , 2016, J. Inform. and Commun. Convergence Engineering.
[108] Scott A. Mahlke,et al. BugMD: Automatic Mismatch Diagnosis for Bug triaging , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[109] Andrew B. Kahng,et al. BEOL stack-aware routability prediction from placement using data mining techniques , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).
[110] Paul D. Franzon,et al. Machine learning in physical design , 2016, 2016 IEEE 25th Conference on Electrical Performance Of Electronic Packaging And Systems (EPEPS).
[111] Luca P. Carloni,et al. Scalable Auto-Tuning of Synthesis Parameters for Optimizing High-Performance Processors , 2016, ISLPED.
[112] Dong Liu,et al. Efficient and reliable High-Level Synthesis Design Space Explorer for FPGAs , 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).
[113] Krzysztof Czarnecki,et al. Learning Rate Based Branching Heuristic for SAT Solvers , 2016, SAT.
[114] Yuan Yu,et al. TensorFlow: A system for large-scale machine learning , 2016, OSDI.
[115] Nachiket Kapre,et al. Improving Classification Accuracy of a Machine Learning Approach for FPGA Timing Closure , 2016, 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
[116] Chikaaki Kodama,et al. A Machine Learning Based Framework for Sub-Resolution Assist Feature Generation , 2016, ISPD.
[117] Pingfan Meng,et al. Adaptive Threshold Non-Pareto Elimination: Re-thinking machine learning for system level design space exploration on FPGAs , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[118] Alex Doboli,et al. Analog circuit topological feature extraction with unsupervised learning of new sub-structures , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[119] Andrew B. Kahng,et al. Learning-based prediction of embedded memory timing failures during initial floorplan design , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[120] Tianqi Chen,et al. XGBoost: A Scalable Tree Boosting System , 2016, KDD.
[121] Krzysztof Czarnecki,et al. Exponential Recency Weighted Average Branching Heuristic for SAT Solvers , 2016, AAAI.
[122] Yuval Tassa,et al. Continuous control with deep reinforcement learning , 2015, ICLR.
[123] Franziska Hoffmann,et al. Design Of Analog Cmos Integrated Circuits , 2016 .
[124] Partha Pratim Pande,et al. Optimizing 3D NoC design for energy efficiency: A machine learning approach , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[125] Tsung-Yi Ho,et al. Analog layout synthesis with knowledge mining , 2015, 2015 European Conference on Circuit Theory and Design (ECCTD).
[126] Navdeep Jaitly,et al. Pointer Networks , 2015, NIPS.
[127] Andrew B. Kahng,et al. SI for free: machine learning of interconnect coupling delay and transition effects , 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
[128] Chung-Kuan Cheng,et al. ePlace: Electrostatics-Based Placement Using Fast Fourier Transform and Nesterov's Method , 2015, TODE.
[129] Gu-Yeon Wei,et al. MachSuite: Benchmarks for accelerator design and customized architectures , 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).
[130] Zhongdong Qi,et al. Accurate prediction of detailed routing congestion using supervised data learning , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[131] Wen Chen,et al. On application of data mining in functional debug , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[132] Benjamin Carrion Schafer,et al. Machine-learning based simulated annealer method for high level synthesis design space exploration , 2014, Proceedings of the 2014 Electronic System Level Synthesis Conference (ESLsyn).
[133] Cristian Grozea,et al. Can Machine Learning Learn a Decision Oracle for NP Problems? A Test on SAT , 2014, Fundam. Informaticae.
[134] Andrew B. Kahng,et al. A deep learning methodology to proliferate golden signoff timing , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[135] Jason Helge Anderson,et al. LegUp: An open-source high-level synthesis tool for FPGA-based processor/accelerator systems , 2013, TECS.
[136] Andreas Krause,et al. Active Learning for Multi-Objective Optimization , 2013, ICML.
[137] Andrew B. Kahng,et al. Learning-based approximation of interconnect delay and slew in signoff timing tools , 2013, 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
[138] Luca P. Carloni,et al. On learning-based methods for design-space exploration with High-Level Synthesis , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[139] Matthew B. Blaschko,et al. Perceptron Learning of SAT , 2012, NIPS.
[140] Magdy S. Abadir,et al. Novel test detection to improve simulation efficiency — A commercial experiment , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[141] David Z. Pan,et al. PADE: A high-performance placer with automatic datapath extraction and evaluation through high-dimensional data learning , 2012, DAC Design Automation Conference 2012.
[142] Earl E. Swartzlander,et al. Keep it straight: teaching placement how to better handle designs with datapaths , 2012, ISPD '12.
[143] Kerstin Eder,et al. Coverage-Directed Test Generation Automated by Machine Learning -- A Review , 2012, ACM Trans. Design Autom. Electr. Syst..
[144] Dongjin Lee,et al. SimPL: An Effective Placement Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[145] Avi Ziv,et al. Learning microarchitectural behaviors to improve stimuli generation quality , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[146] Kun Yuan,et al. AENEID: A generic lithography-friendly detailed router based on post-RET data learning and hotspot detection , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[147] Andrew B. Kahng,et al. Accurate Machine-Learning-Based On-Chip Router Modeling , 2010, IEEE Embedded Systems Letters.
[148] Robert K. Brayton,et al. ABC: An Academic Industrial-Strength Verification Tool , 2010, CAV.
[149] Yiorgos Makris,et al. RF Specification Test Compaction Using Learning Machines , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[150] Jason Cong,et al. Parallel multi-level analytical global placement on graphics processing units , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[151] Martin Rinard,et al. AvatarSAT: An Auto-tuning Boolean SAT Solver , 2009 .
[152] Kevin Leyton-Brown,et al. SATenstein: Automatically Building Local Search SAT Solvers from Components , 2009, IJCAI.
[153] Toby Walsh,et al. Restart Strategy Selection Using Machine Learning Techniques , 2009, SAT.
[154] Qi Guo,et al. Coverage Directed Test Generation: Godson Experience , 2008, 2008 17th Asian Test Symposium.
[155] Harry Foster,et al. Functional test selection based on unsupervised support vector analysis , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[156] Kevin Leyton-Brown,et al. SATzilla: Portfolio-based Algorithm Selection for SAT , 2008, J. Artif. Intell. Res..
[157] Martin Fränzle,et al. Stochastic Satisfiability Modulo Theory: A Novel Technique for the Analysis of Probabilistic Hybrid Systems , 2008, HSCC.
[158] Alex S. Fukunaga,et al. Automated Discovery of Local Search Heuristics for Satisfiability Testing , 2008, Evolutionary Computation.
[159] Yiorgos Makris,et al. Error Moderation in Low-Cost Machine-Learning-Based Analog/RF Testing , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[160] Todd M. Austin,et al. Microprocessor Verification via Feedback-Adjusted Markov Models , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[161] Yiorgos Makris,et al. Non-RF to RF Test Correlation Using Learning Machines: A Case Study , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[162] Kenneth S. Kundert,et al. Verification of Complex Analog and RF IC Designs , 2007, Proceedings of the IEEE.
[163] Andy Liaw,et al. Classification and Regression by randomForest , 2007 .
[164] Peter A. Flach,et al. Towards Automating Simulation-Based Design Verification Using ILP , 2007, ILP.
[165] Bruno Allard,et al. Combining Internal Probing with Artificial Neural Networks for Optimal RFIC Testing , 2006, 2006 IEEE International Test Conference.
[166] Sofiène Tahar,et al. Efficient Assertion Based Verification using TLM , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[167] Abhijit Chatterjee,et al. Built-in test of RF components using mapped feature extraction sensors , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[168] Avi Ziv,et al. Coverage directed test generation for functional verification using Bayesian networks , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[169] M. Moskewicz,et al. Chaff: engineering an efficient SAT solver , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[170] Michail G. Lagoudakis,et al. Learning to Select Branching Rules in the DPLL Procedure for Satisfiability , 2001, Electron. Notes Discret. Math..
[171] Andrew W. Moore,et al. Learning Evaluation Functions to Improve Optimization by Local Search , 2001, J. Mach. Learn. Res..
[172] Rob A. Rutenbar,et al. Computer-aided design of analog and mixed-signal integrated circuits , 2000, Proceedings of the IEEE.
[173] Shinichi Morishita,et al. On Classification and Regression , 1998, Discovery Science.
[174] Antonio Torralba,et al. FASY: a fuzzy-logic based tool for analog synthesis , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[175] Leopoldo García Franquelo,et al. A fuzzy-logic based tool for topology selection in analog synthesis , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
[176] Bernhard E. Boser,et al. A training algorithm for optimal margin classifiers , 1992, COLT '92.
[177] E. Berkcan,et al. Towards mixed analog/digital design automation: a review , 1990, IEEE International Symposium on Circuits and Systems.
[178] J. L. Hodges,et al. Discriminatory Analysis - Nonparametric Discrimination: Consistency Properties , 1989 .
[179] Kurt Hornik,et al. Multilayer feedforward networks are universal approximators , 1989, Neural Networks.