Novel Radiation Hardened Latch Design with Cost-Effectiveness for Safety-Critical Terrestrial Applications

To meet the requirements of both cost-effectiveness and high reliability for safety-critical terrestrial applications, this paper proposes a novel radiation hardened latch design, namely HLCRT. The HLCRT latch mainly consists of a single-node-upset self-recoverable cell, a 3-input C-element, and an inverter. If any two inputs of the C-element suffer from a double-node-upset (DNU), or if one node inside the cell together with another node outside the cell suffer from a DNU, the latch still has correct values on its output node, i.e., the latch is effectively DNU hardened. Simulation results demonstrate the DNU tolerance of the proposed latch. Moreover, due to the use of fewer transistors, clock gating technologies, and a high-speed path, the proposed latch saves about 444.80% delay, 150.50% power, 72.66% area, and 2029.63% delay-power-area product on average, compared with state-of-the-art DNU hardened latch designs.

[1]  Liyi Xiao,et al.  High robust and cost effective double node upset tolerant latch design for nanoscale CMOS technology , 2019 .

[2]  Spyros Tragoudas,et al.  A Highly Robust Double Node Upset Tolerant latch , 2016, 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

[3]  Huaguo Liang,et al.  A Self-Recoverable, Frequency-Aware and Cost-Effective Robust Latch Design for Nanoscale CMOS Technology , 2015, IEICE Trans. Electron..

[4]  Kiamal Z. Pekmestzi,et al.  DONUT: A Double Node Upset Tolerant Latch , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[5]  Cecilia Metra,et al.  High-Performance Robust Latches , 2010, IEEE Transactions on Computers.

[6]  Fabrizio Lombardi,et al.  Design and Performance Evaluation of Radiation Hardened Latches for Nanoscale CMOS , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  L. W. Massengill,et al.  Single Event Transients in Digital CMOS—A Review , 2013, IEEE Transactions on Nuclear Science.

[8]  Xin Xie,et al.  A novel self-recoverable and triple nodes upset resilience DICE latch , 2018, IEICE Electron. Express.

[9]  Zhengfeng Huang A high performance SEU-tolerant latch for nanoscale CMOS technology , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[10]  Tianqi Wang,et al.  Low cost and highly reliable radiation hardened latch design in 65 nm CMOS technology , 2015, Microelectron. Reliab..

[11]  Xiaoxuan She,et al.  SEU Tolerant Latch Based on Error Detection , 2012, IEEE Transactions on Nuclear Science.

[12]  L. Chen,et al.  A Quatro-Based 65-nm Flip-Flop Circuit for Soft-Error Resilience , 2017, IEEE Transactions on Nuclear Science.

[13]  Xiaoqing Wen,et al.  A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application , 2019, IEEE Transactions on Circuits and Systems II: Express Briefs.

[14]  Qiong Wu,et al.  A 65 nm Temporally Hardened Flip-Flop Circuit , 2016, IEEE Transactions on Nuclear Science.

[15]  Huaguo Liang,et al.  A High Performance SEU Tolerant Latch , 2015, J. Electron. Test..

[16]  Spyros Tragoudas,et al.  Radiation Hardened Latch Designs for Double and Triple Node Upsets , 2017, IEEE Transactions on Emerging Topics in Computing.

[17]  Hideo Ito,et al.  Single Event Induced Double Node Upset Tolerant Latch , 2010, 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems.

[18]  Ken Choi,et al.  Low cost and highly reliable hardened latch design for nanoscale CMOS technology , 2012, Microelectron. Reliab..

[19]  Ken Choi,et al.  High Performance, Low Cost, and Robust Soft Error Tolerant Latch Designs for Nanoscale CMOS Technology , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[20]  Ramin Rajaei Single event double node upset tolerance in MOS/spintronic sequential and combinational logic circuits , 2017, Microelectron. Reliab..

[21]  Xiaoqing Wen,et al.  Novel Low Cost, Double-and-Triple-Node-Upset-Tolerant Latch Designs for Nano-scale CMOS , 2018, IEEE Transactions on Emerging Topics in Computing.

[22]  P.H. Eaton,et al.  SEU and SET Modeling and Mitigation in Deep Submicron Technologies , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[23]  Huaguo Liang,et al.  Double-Node-Upset-Resilient Latch Design for Nanoscale CMOS Technology , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  T.M. Mak,et al.  Built-In Soft Error Resilience for Robust System Design , 2007, 2007 IEEE International Conference on Integrated Circuit Design and Technology.

[25]  Kostas Tsoumanis,et al.  Delta DICE: A Double Node Upset resilient latch , 2015, 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS).

[26]  Xu Hui,et al.  Circuit and layout combination technique to enhance multiple nodes upset tolerance in latches , 2015, IEICE Electron. Express.

[27]  Yiorgos Tsiatouhas,et al.  Double node charge sharing SEU tolerant latch design , 2014, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS).

[28]  Wenjuan Lu,et al.  Average 7T1R Nonvolatile SRAM With R/W Margin Enhanced for Low-Power Application , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Yuanqing Li,et al.  Double Node Upsets Hardened Latch Circuits , 2015, J. Electron. Test..

[30]  Qiang Zhao,et al.  Radiation-Hardened 14T SRAM Bitcell With Speed and Power Optimized for Space Application , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[31]  Ahmad Patooghy,et al.  Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies , 2009, IET Comput. Digit. Tech..

[32]  T. Calin,et al.  Upset hardened memory design for submicron CMOS technology , 1996 .

[33]  Yiorgos Tsiatouhas,et al.  Soft error interception latch: double node charge sharing SEU tolerant design , 2015 .

[34]  Jun Xiao,et al.  Low-cost single event double-upset tolerant latch design , 2018 .