Power Efficient Variability Compensation Through Clustered Tunable Power-Gating

Power efficiency and variability, currently, are the main aspects of concern of nanometer-scale CMOS technology. Both issues have been widely studied and described in the literature, and various options for their independent management are available. Unfortunately, their exacerbation on sub-40 nm processes will require new design solutions for concurrent optimization. This paper moves towards this objective, and presents a new, fully-automated, design methodology, based on the Monitor and Control paradigm, able to improve the timing yield of a system making use of traditional power-gating (PG) as a knob for controlling power consumption and performance. In particular, the design and implementation of tunable-size sleep transistors is described, as well as a methodology for inserting them in a row-based layout. In order to keep under control both area and power overhead that come from the insertion of the sleep transistors, this paper also proposes a new strategy for clustering and power-gating only the timing critical cells. The experimental results are extremely promising. In fact, the proposed approach guarantees 100% of the timing yield with average leakage-power savings of about 29%.

[1]  Fook-Luen Heng,et al.  Backend CAD flows for "restrictive design rules" , 2004, ICCAD 2004.

[2]  Luca Benini,et al.  Efficient Computation of Discharge Current Upper Bounds for Clustered Sleep Transistor Sizing , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[3]  Kevin J. Nowka,et al.  Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength , 2005, Proceedings 2005 IEEE International SOC Conference.

[4]  Giovanni Squillero,et al.  RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..

[5]  Manoj Sachdev,et al.  Efficient adaptive voltage scaling system through on-chip critical path emulation , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[6]  Kaushik Roy,et al.  CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Jamil Kawa,et al.  Design for Manufacturability and Yield for Nano-Scale CMOS , 2007, Series on Integrated Circuits and Systems.

[8]  David Blaauw,et al.  Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[9]  T. Chen,et al.  Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Luca Benini,et al.  Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Vivek De,et al.  Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors , 2002, VLSIC 2002.

[12]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[13]  Robert C. Aitken,et al.  Low Power Methodology Manual - for System-on-Chip Design , 2007 .

[14]  Stephan Henzler,et al.  In-Situ Delay Characterization and Local Supply Voltage Adjustment for Compensation of Local Parametric Variations , 2007, IEEE Journal of Solid-State Circuits.

[15]  Massoud Pedram,et al.  Charge Recycling in Power-Gated CMOS Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Luca Benini,et al.  Timing-driven row-based power gating , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[17]  H. Ishiuchi,et al.  Forward Body Biasing as a Bulk-Si CMOS Technology Scaling Strategy , 2008, IEEE Transactions on Electron Devices.

[18]  Luca Benini,et al.  Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[19]  Ankur Srivastava,et al.  Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Shohaib Aboobacker RAZOR: circuit-level correction of timing errors for low-power operation , 2011 .

[21]  Nam Sung Kim,et al.  Frequency and yield optimization using power gates in power-constrained designs , 2009, ISLPED.

[22]  Kaushik Roy,et al.  Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Muhammad Ashraful Alam,et al.  Reliability- and Process-variation aware design of integrated circuits — A broader perspective , 2008, 2011 International Reliability Physics Symposium.

[24]  Mohamed I. Elmasry,et al.  Design and optimization of multithreshold CMOS (MTCMOS) circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[25]  Luca Benini,et al.  Fast Computation of Discharge Current Upper Bounds for Clustered Power Gating , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Luca Benini,et al.  Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology , 2007, GLSVLSI '07.

[27]  Lei He,et al.  Distributed sleep transistor network for power reduction , 2003, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[28]  Andrzej J. Strojwas,et al.  Co-Optimization of Circuits, Layout and Lithography for Predictive Technology Scaling Beyond Gratings , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[30]  Vivek De,et al.  Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, VLSIC 2002.