A Stochastic Computational Approach for Accurate and Efficient Reliability Evaluation

Reliability is fast becoming a major concern due to the nanometric scaling of CMOS technology. Accurate analytical approaches for the reliability evaluation of logic circuits, however, have a computational complexity that generally increases exponentially with circuit size. This makes intractable the reliability analysis of large circuits. This paper initially presents novel computational models based on stochastic computation; using these stochastic computational models (SCMs), a simulation-based analytical approach is then proposed for the reliability evaluation of logic circuits. In this approach, signal probabilities are encoded in the statistics of random binary bit streams and non-Bernoulli sequences of random permutations of binary bits are used for initial input and gate error probabilities. By leveraging the bit-wise dependencies of random binary streams, the proposed approach takes into account signal correlations and evaluates the joint reliability of multiple outputs. Therefore, it accurately determines the reliability of a circuit; its precision is only limited by the random fluctuations inherent in the stochastic sequences. Based on both simulation and analysis, the SCM approach takes advantages of ease in implementation and accuracy in evaluation. The use of non-Bernoulli sequences as initial inputs further increases the evaluation efficiency and accuracy compared to the conventional use of Bernoulli sequences, so the proposed stochastic approach is scalable for analyzing large circuits. It can further account for various fault models as well as calculating the soft error rate (SER). These results are supported by extensive simulations and detailed comparison with existing approaches.

[1]  Ming Zhang,et al.  A soft error rate analysis (SERA) methodology , 2004, ICCAD 2004.

[2]  Charles H.-P. Wen,et al.  Accurate statistical soft error rate (SSER) analysis using a quasi-Monte Carlo framework with quality cell models , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[3]  Massoud Pedram,et al.  Probabilistic error propagation in logic circuits using the Boolean difference calculus , 2008, 2008 IEEE International Conference on Computer Design.

[4]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[5]  Diana Marculescu,et al.  Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  John P. Hayes,et al.  Scalable and accurate estimation of probabilistic behavior in sequential circuits , 2010, 2010 28th VLSI Test Symposium (VTS).

[7]  Shie Mannor,et al.  Survey of Stochastic Computation on Factor Graphs , 2007, 37th International Symposium on Multiple-Valued Logic (ISMVL'07).

[8]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[9]  David Blaauw,et al.  An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[10]  John P. Hayes,et al.  Signature-Based SER Analysis and Design of Logic Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  J. Neumann Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .

[12]  J. Fortes,et al.  Towards Accurate and Efficient Reliability Modeling of Nanoelectronic Circuits , 2006, 2006 Sixth IEEE Conference on Nanotechnology.

[13]  Diana Marculescu,et al.  MARS-C: modeling and reduction of soft errors in combinational circuits , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[14]  Jianbo Gao,et al.  Toward hardware-redundant, fault-tolerant logic for nanoelectronics , 2005, IEEE Design & Test of Computers.

[15]  Jie Han,et al.  A system architecture solution for unreliable nanoelectronic devices , 2002 .

[16]  Diana Marculescu,et al.  Soft error rate analysis for sequential circuits , 2007 .

[17]  John P. Hayes,et al.  Modeling and Mitigating Transient Errors in Logic Circuits , 2011, IEEE Transactions on Dependable and Secure Computing.

[18]  S. Bhanja,et al.  Scalable probabilistic computing models using Bayesian networks , 2005, 48th Midwest Symposium on Circuits and Systems, 2005..

[19]  W. J. Poppelbaum,et al.  Stochastic computing elements and systems , 1967, AFIPS '67 (Fall).

[20]  Jie Han Fault-tolerant architectures for nanoelectronic and quantum devices , 2004 .

[21]  P. Jonker,et al.  A defect-?and fault-tolerant architecture for nanocomputers , 2003 .

[22]  Hao Chen,et al.  Reliability evaluation of logic circuits using probabilistic gate models , 2011, Microelectron. Reliab..

[23]  Xin Li,et al.  A reconfigurable stochastic architecture for highly reliable computing , 2009, GLSVLSI '09.

[24]  Afshin Abdollahi Probabilistic decision diagrams for exact probabilistic analysis , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[25]  Kartik Mohanram,et al.  Reliability Analysis of Logic Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  Xin Li,et al.  An Architecture for Fault-Tolerant Computation with Stochastic Logic , 2011, IEEE Transactions on Computers.

[27]  Naresh R. Shanbhag,et al.  Soft-Error-Rate-Analysis (SERA) Methodology , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  John P. Hayes,et al.  Probabilistic transfer matrices in symbolic reliability analysis of logic circuits , 2008, TODE.

[29]  Bin Zhang,et al.  FASER: fast analysis of soft error susceptibility for cell-based designs , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[30]  Vincent C. Gaudet,et al.  Stochastic iterative decoders , 2005, Proceedings. International Symposium on Information Theory, 2005. ISIT 2005..

[31]  John P. Hayes,et al.  An Analysis Framework for Transient-Error Tolerance , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[32]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[33]  Hao Chen,et al.  Stochastic computational models for accurate reliability evaluation of logic circuits , 2010, GLSVLSI '10.

[34]  S. Roy,et al.  Majority multiplexing-economical redundant fault-tolerant designs for nanoarchitectures , 2005, IEEE Transactions on Nanotechnology.

[35]  Douglas L. Jones,et al.  Stochastic computation , 2010, Design Automation Conference.

[36]  Edward J. McCluskey,et al.  Probabilistic Treatment of General Combinational Networks , 1975, IEEE Transactions on Computers.

[37]  Kia Bazargan,et al.  Estimation and optimization of reliability of noisy digital circuits , 2009, 2009 10th International Symposium on Quality Electronic Design.

[38]  Jianbo Gao,et al.  Faults, error bounds and reliability of nanoelectronic circuits , 2005, 2005 IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05).

[39]  Brian R. Gaines,et al.  Stochastic Computing Systems , 1969 .

[40]  Howard C. Card,et al.  Stochastic Neural Computation I: Computational Elements , 2001, IEEE Trans. Computers.

[41]  C. Metra,et al.  A model for transient fault propagation in combinatorial logic , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..

[42]  Rusty O. Baldwin,et al.  Analytical Models for the Performance of von , 2007 .

[43]  Jie Chen,et al.  A Probabilistic-Based Design Methodology for Nanoscale Computation , 2003, ICCAD 2003.