High-level synthesis for the design of FPGA-based signal processing systems

High-level synthesis (HLS) currently seems to be an interesting process to reduce the design time substantially. HLS tools actually map algorithms to architectures. While such tools were developed targeting ASIC technologies, HLS currently draws wide interest for FPGA designers. However with most of HLS techniques, traditional resource sharing models are very inaccurate for FPGAs: for example, multiplexers can be very expensive with such technologies. Resource usage optimizations and dedicated resource binding have to be applied. In this paper a HLS process which takes care of data-width and combines scheduling and binding to carefully take into account interconnect cost is presented. Experimental results show that our approach achieves significant reduction for area (34%) and dynamic power (28%) compared to a traditional synthesis.

[1]  Yu-Chin Hsu,et al.  Data path allocation based on bipartite weighted matching , 1990, 27th ACM/IEEE Design Automation Conference.

[2]  Romuald Rocher,et al.  Noise model for Accuracy Constraint Determination in Fixed-Point Systems , 2007 .

[3]  E. Martin,et al.  High-level synthesis assisted rapid prototyping for digital signal processing , 2004, Proceedings. The 16th International Conference on Microelectronics, 2004. ICM 2004..

[4]  Sumit Gupta,et al.  SPARK: A Parallelizing Approach to the High-Level Synthesis of Digital Circuits , 2004 .

[5]  Bertrand Le Gal,et al.  C-based rapid prototyping for digital signal processing , 2005, 2005 13th European Signal Processing Conference.

[6]  Jason Cong,et al.  Platform-based resource binding using a distributed register-file microarchitecture , 2006, ICCAD.

[7]  Mark Stephenson,et al.  Bidwidth analysis with application to silicon compilation , 2000, PLDI '00.

[8]  Eric Senn,et al.  ∂ GAUT: A High-Level Synthesis Tool for DSP applications , 2008 .

[9]  Taemin Kim,et al.  Compatibility path based binding algorithm for interconnect reduction in high level synthesis , 2007, ICCAD 2007.

[10]  Wayne Luk,et al.  Heuristic datapath allocation for multiple wordlength systems , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[11]  Zhiru Zhang,et al.  Bitwidth-aware scheduling and binding in high-level synthesis , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[12]  Azeddien M. Sllame,et al.  An efficient list-based scheduling algorithm for high-level synthesis , 2002, Proceedings Euromicro Symposium on Digital System Design. Architectures, Methods and Tools.

[13]  Iyad Ouaiss,et al.  Optimizing register binding in FPGAs using simulated annealing , 2005, 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05).

[14]  Daniel D. Gajski,et al.  High ― Level Synthesis: Introduction to Chip and System Design , 1992 .

[15]  Octavio Nieto-Taladriz,et al.  Bit-width selection for data-path implementations , 1999, Proceedings 12th International Symposium on System Synthesis.

[16]  Philippe Coussy,et al.  High-Level Synthesis: from Algorithm to Digital Circuit , 2008 .

[17]  Wayne Luk,et al.  Optimal datapath allocation for multiple-wordlength systems , 2000 .

[18]  Jason Cong,et al.  Pattern-based behavior synthesis for FPGA resource reduction , 2008, FPGA '08.

[19]  Minh N. Do,et al.  Youn-Long Steve Lin , 1992 .

[20]  Stephen Neuendorffer,et al.  FPGA Pipeline Synthesis Design Exploration Using Module Selection and Resource Sharing , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Deming Chen,et al.  Low-power high-level synthesis for FPGA architectures , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..