Flexible LDPC Decoder Architectures

Flexible channel decoding is getting significance with the increase in number of wireless standards and modes within a standard. A flexible channel decoder is a solution providing interstandard and intrastandard support without change in hardware. However, the design of efficient implementation of flexible low-density parity-check (LDPC) code decoders satisfying area, speed, and power constraints is a challenging task and still requires considerable research effort. This paper provides an overview of state-of-the-art in the design of flexible LDPC decoders. The published solutions are evaluated at two levels of architectural design: the processing element (PE) and the interconnection structure. A qualitative and quantitative analysis of different design choices is carried out, and comparison is provided in terms of achieved flexibility, throughput, decoding efficiency, and area (power) consumption.

[1]  Vincent Berg,et al.  Low cost LDPC decoder for DVB-S2 , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[2]  Ajay Dholakia,et al.  Reduced-complexity decoding of LDPC codes , 2005, IEEE Transactions on Communications.

[3]  Amer Baghdadi,et al.  Binary de Bruijn on-chip network for a flexible multiprocessor LDPC decoder , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[4]  Frank Kienle,et al.  Low Complexity LDPC Code Decoders for Next Generation Standards , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[5]  D. Begusic,et al.  Physical layer analysis of emerging IEEE 802.11n WLAN standard , 2006, 2006 8th International Conference Advanced Communication Technology.

[6]  Luca Fanucci,et al.  VLSI Design of a Fully-Parallel High-Throughput Decoder for Turbo Gallager Codes , 2006, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[7]  A. Bourdoux,et al.  A flexible ASIP decoder for combined binary and non-binary LDPC codes , 2010, 2010 17th IEEE Symposium on Communications and Vehicular Technology in the Benelux (SCVT2010).

[8]  Niclas Wiberg,et al.  Codes and Decoding on General Graphs , 1996 .

[9]  Tong Zhang,et al.  Area-Efficient Min-Sum Decoder Design for High-Rate Quasi-Cyclic Low-Density Parity-Check Codes in Magnetic Recording , 2007, IEEE Transactions on Magnetics.

[10]  Xiaoyang Zeng,et al.  A flexible LDPC decoder architecture supporting two decoding algorithms , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[11]  Jean-Luc Danger,et al.  Lambda-Min Decoding Algorithm of Regular and Irregular LDPC Codes , 2003 .

[12]  John Cocke,et al.  Optimal decoding of linear codes for minimizing symbol error rate (Corresp.) , 1974, IEEE Trans. Inf. Theory.

[13]  Narayanan Vijaykrishnan,et al.  Implementing LDPC decoding on network-on-chip , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[14]  Xiao Peng,et al.  High parallel variation Banyan network based permutation network for reconfigurable LDPC decoder , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

[15]  Brendan J. Frey,et al.  Factor graphs and the sum-product algorithm , 2001, IEEE Trans. Inf. Theory.

[16]  Keshab K. Parhi,et al.  A 54 Mbps (3,6)-regular FPGA LDPC decoder , 2002, IEEE Workshop on Signal Processing Systems.

[17]  Hengzhu Liu,et al.  Low Complexity DVB-S2 LDPC Decoder , 2009, VTC Spring 2009 - IEEE 69th Vehicular Technology Conference.

[18]  Luca Fanucci,et al.  Multi-size circular shifting networks for decoders of structured LDPC codes , 2007 .

[19]  R. Hegde,et al.  A voltage overscaled low-power digital filter IC , 2004, IEEE Journal of Solid-State Circuits.

[20]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[21]  Guido Masera,et al.  Turbo NOC: A Framework for the Design of Network-on-Chip-Based Turbo Decoder Architectures , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[22]  Xin-Yu Shih,et al.  A real-time programmable LDPC decoder chip for arbitrary QC-LDPC parity check matrices , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[23]  Norbert Wehn,et al.  A Reconfigurable Application Specific Instruction Set Processor for Convolutional and Turbo Decoding in a SDR Environment , 2008, 2008 Design, Automation and Test in Europe.

[24]  Keshab K. Parhi,et al.  Low-Complexity Switch Network for Reconfigurable LDPC Decoders , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  A. Blanksby,et al.  A 690-mW 1-Gb/s 1024-b, rate-1/2 low-density parity-check code decoder , 2001, IEEE J. Solid State Circuits.

[26]  E. Boutillon,et al.  Reconfigurable Architecture for LDPC and Turbo Decoding: A NoC Case Study , 2008, 2008 IEEE 10th International Symposium on Spread Spectrum Techniques and Applications.

[27]  Guido Masera,et al.  A Novel Architecture for Scalable, High Throughput, Multi-standard LDPC Decoder , 2011, 2011 14th Euromicro Conference on Digital System Design.

[28]  Zhongfeng Wang,et al.  Flexible LDPC Decoder Design for Multigigabit-per-Second Applications , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[29]  Naresh R. Shanbhag,et al.  Memory-efficient turbo decoder architectures for LDPC codes , 2002, IEEE Workshop on Signal Processing Systems.

[30]  Akif Ali,et al.  Near-optimal worst-case throughput routing for two-dimensional mesh networks , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[31]  J. Chen,et al.  Near optimum universal belief propagation based decoding of LDPC codes and extension to turbo decoding , 2001, Proceedings. 2001 IEEE International Symposium on Information Theory (IEEE Cat. No.01CH37252).

[32]  Zhongfeng Wang,et al.  Efficient Shuffle Network Architecture and Application for WiMAX LDPC Decoders , 2009, IEEE Trans. Circuits Syst. II Express Briefs.

[33]  Robert G. Gallager,et al.  Low-density parity-check codes , 1962, IRE Trans. Inf. Theory.

[34]  Xiao Peng,et al.  An early stopping criterion for decoding LDPC codes in WiMAX and WiFi standards , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[35]  Alberto Morello,et al.  DVB-S2: The Second Generation Standard for Satellite Broad-Band Services , 2006, Proceedings of the IEEE.

[36]  A. J. Blanksby,et al.  A 690-mW 1-Gb/s 1024-b, rate-1/2 low-density parity-check code decoder , 2001, IEEE J. Solid State Circuits.

[37]  Shyh-Jye Jou,et al.  An LDPC Decoder Chip Based on Self-Routing Network for IEEE 802.16e Applications , 2008, IEEE Journal of Solid-State Circuits.

[38]  Robert Michael Tanner,et al.  A recursive approach to low complexity codes , 1981, IEEE Trans. Inf. Theory.

[39]  N. Wehn,et al.  FlexiChaP: A reconfigurable ASIP for convolutional, turbo, and LDPC code decoding , 2008, 2008 5th International Symposium on Turbo Codes and Related Topics.

[40]  Hendrawan,et al.  Comparison of decoding algorithms for LDPC codes of IEEE 802.16e standard , 2011, 2011 6th International Conference on Telecommunication Systems, Services, and Applications (TSSA).

[41]  Norbert Wehn,et al.  A synthesizable IP core for WiMedia 1.5 UWB LDPC code decoding , 2009, 2009 IEEE International Conference on Ultra-Wideband.

[42]  Naresh R. Shanbhag,et al.  Low-power implementation of a high-throughput LDPC decoder for IEEE 802.11N standard , 2009, 2009 IEEE Workshop on Signal Processing Systems.

[43]  Alan N. Willson,et al.  A flexible decoder IC for WiMAX QC-LDPC codes , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[44]  Joseph R. Cavallaro,et al.  Configurable, High Throughput, Irregular LDPC Decoder Architecture: Tradeoff Analysis and Implementation , 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

[45]  Olgica Milenkovic,et al.  High-throughput VLSI Implementations of Iterative Decoders and Related Code Construction Problems , 2004, IEEE Global Telecommunications Conference, 2004. GLOBECOM '04..

[46]  D.E. Hocevar,et al.  A reduced complexity decoder architecture via layered decoding of LDPC codes , 2004, IEEE Workshop onSignal Processing Systems, 2004. SIPS 2004..

[47]  Daniel J. Costello,et al.  LDPC block and convolutional codes based on circulant matrices , 2004, IEEE Transactions on Information Theory.

[48]  Mohammad M. Mansour,et al.  A 640-Mb/s 2048-bit programmable LDPC decoder chip , 2006, IEEE Journal of Solid-State Circuits.

[49]  Jun Tang,et al.  Reconfigurable Shuffle Network Design in LDPC Decoders , 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

[50]  Gwan S. Choi,et al.  A Parallel VLSI Architecture for Layered Decoding for Array LDPC Codes , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[51]  Ning Chen,et al.  Memory Efficient Decoder Architectures for Quasi-Cyclic LDPC Codes , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[52]  Amer Baghdadi,et al.  Flexible Architectures for LDPC Decoders Based on Network on Chip Paradigm , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.

[53]  Guido Masera,et al.  A Network-on-Chip-based turbo/LDPC decoder architecture , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[54]  Guido Masera,et al.  A flexible NoC-based LDPC code decoder implementation and bandwidth reduction methods , 2011, Proceedings of the 2011 Conference on Design & Architectures for Signal & Image Processing (DASIP).

[55]  Naresh R. Shanbhag,et al.  Reliable low-power digital signal processing via reduced precision redundancy , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[56]  Amer Baghdadi,et al.  A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding , 2011, 2011 Design, Automation & Test in Europe.

[57]  Frank Kienle,et al.  A Synthesizable IP Core for WIMAX 802.16E LDPC Code Decoding , 2006, 2006 IEEE 17th International Symposium on Personal, Indoor and Mobile Radio Communications.

[58]  Guido Masera,et al.  Finite precision implementation of LDPC decoders , 2005 .

[59]  Ieee Microwave Theory,et al.  Part 16: Air Interface for Fixed and Mobile Broadband Wireless Access Systems — Amendment for Physical and Medium Access Control Layers for Combined Fixed and Mobile Operation in Licensed Bands , 2003 .

[60]  Guido Masera,et al.  Interconnection framework for high-throughput, flexible LDPC decoders , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[61]  Guido Masera,et al.  Implementation of a Flexible LDPC Decoder , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[62]  Payam Pakzad,et al.  Abstract—two Decoding Schedules and the Corresponding Serialized Architectures for Low-density Parity-check (ldpc) , 2001 .

[63]  X. Jin Factor graphs and the Sum-Product Algorithm , 2002 .

[64]  In-Cheol Park,et al.  Scheduling algorithm for partially parallel architecture of LDPC decoder by matrix permutation , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[65]  Zhongfeng Wang,et al.  Multi-Gb/s LDPC Code Design and Implementation , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[66]  Luca Fanucci,et al.  A multi-standard flexible turbo/LDPC decoder via ASIC design , 2010, 2010 6th International Symposium on Turbo Codes & Iterative Information Processing.

[67]  Shyh-Jye Jou,et al.  Design of a Multimode QC-LDPC Decoder Based on Shift-Routing Network , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

[68]  Yeong-Luh Ueng,et al.  Processing-Task Arrangement for a Low-Complexity Full-Mode WiMAX LDPC Codec , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[69]  F. Leighton,et al.  Introduction to Parallel Algorithms and Architectures: Arrays, Trees, Hypercubes , 1991 .

[70]  de Ng Dick Bruijn A combinatorial problem , 1946 .

[71]  Michael J. Flynn,et al.  Very high-speed computing systems , 1966 .

[72]  Xiaoyang Zeng,et al.  A fully-overlapped multi-mode QC-LDPC decoder architecture for mobile WiMAX applications , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

[73]  Xin-Yu Shih,et al.  An 8.29 mm$^{2}$ 52 mW Multi-Mode LDPC Decoder Design for Mobile WiMAX System in 0.13 $\mu$m CMOS Process , 2008, IEEE Journal of Solid-State Circuits.

[74]  Juntan Zhang,et al.  Shuffled belief propagation decoding , 2002, Conference Record of the Thirty-Sixth Asilomar Conference on Signals, Systems and Computers, 2002..

[75]  Guido Masera,et al.  On Practical Implementation and Generalizations of $ \max^{\ast}$ Operator for Turbo and LDPC Decoders , 2012, IEEE Transactions on Instrumentation and Measurement.

[76]  Markus Rupp,et al.  Efficient DSP implementation of an LDPC decoder , 2004, 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.

[77]  Liesbet Van der Perre,et al.  A unified instruction set programmable architecture for multi-standard advanced forward error correction , 2008, 2008 IEEE Workshop on Signal Processing Systems.

[78]  Makoto Imase,et al.  A Design for Directed Graphs with Minimum Diameter , 1983, IEEE Transactions on Computers.

[79]  Luca Fanucci,et al.  Adaptive Single Phase Decoding of LDPC Codes , 2006 .

[80]  Mohammed Atiquzzaman,et al.  VLSI Architectures for Layered Decoding for Irregular LDPC Codes of WiMax , 2007, 2007 IEEE International Conference on Communications.

[81]  Sergio Benedetto,et al.  Mapping interleaving laws to parallel turbo and LDPC decoder architectures , 2004, IEEE Transactions on Information Theory.