Serial-link bus: a low-power on-chip bus architecture

As technology scales, the shrinking wire width increases the interconnect resistivity, while the decreasing interconnect spacing significantly increases the coupling capacitance. This paper proposes reducing the number of bus lines of the conventional parallel-line bus CB architecture by multiplexing each m-bits onto a single line. This bus architecture, the serial-link bus SLB, transforms an n-bit conventional parallel-line bus into an n/m-line (serial-link) bus. The advantage of serial-link buses is that they have fewer lines, and if the bus width is kept the same, serial- link buses will have larger line width and spacing. Increasing the line width has a twofold reduction effect on the line resistance, as the resistivity of sub-100 nm wires significantly drops as the line width increases. Also, increasing the line width and spacing reduces the coupling capacitance between adjacent lines, but increases the line-to-ground capacitance. Thus, an optimum degree of multiplexing m exists that minimizes the bus energy dissipation and maximizes the bus throughput per-unit area. The optimum degree of multiplexing for maximum throughput-per- unit-area and for minimum energy dissipation for the 25-130 nm technologies was determined in this paper. HSPICE simulations show that; for the same throughput-per-unit-area as conventional parallel-line buses, the serial-link bus architecture reduces the energy dissipation by up to 31.42% for a 64-bit bus implemented in an intermediate metal layer of a 50 nm technology and a reduction of 52.7% is projected for the 25 nm technology.

[1]  Naresh R. Shanbhag,et al.  Coding for reliable on-chip buses: a class of fundamental bounds and practical codes , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  W. Steinhögl,et al.  Size-dependent resistivity of metallic wires in the mesoscopic range , 2002 .

[3]  Jacob K. White,et al.  FastCap: a multipole accelerated 3-D capacitance extraction program , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Shyh-Chyi Wong,et al.  Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .

[5]  Yehea I. Ismail,et al.  A skewed repeater bus architecture for on-chip energy reduction in microprocessors , 2005, 2005 International Conference on Computer Design.

[6]  J.D. Meindl,et al.  Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.

[7]  Jason Cong,et al.  Interconnect sizing and spacing with consideration of couplingcapacitance , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  C. L. Liu,et al.  A postprocessing algorithm for crosstalk-driven wire perturbation , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Naresh R. Shanbhag,et al.  A coding framework for low-power address and data busses , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[11]  Andrew B. Kahng,et al.  Interconnect tuning strategies for high-performance ICs , 1998, DATE.

[12]  Takayasu Sakurai,et al.  Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .

[13]  Takayasu Sakurai,et al.  Coupling-driven bus design for low-power application-specific systems , 2001, DAC '01.

[14]  Enrico Macii,et al.  Wire placement for crosstalk energy minimization in address buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[15]  G. Schindler,et al.  Scaling laws for the resistivity increase of sub-100 nm interconnects , 2003, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003..

[16]  Hiroto Yasuura,et al.  A bus delay reduction technique considering crosstalk , 2000, DATE '00.

[17]  Puneet Gupta,et al.  Wire swizzling to reduce delay uncertainty due to capacitive coupling , 2004, 17th International Conference on VLSI Design. Proceedings..

[18]  Anantha Chandrakasan,et al.  Bus energy minimization by transition pattern coding (TPC) in deep sub-micron technologies , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[19]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[20]  Ram Krishnamurthy,et al.  A transition-encoded dynamic bus technique for high-performance interconnects , 2003 .

[21]  Alan C. Thomas,et al.  Level-specific lithography optimization for 1-Gb DRAM , 2000 .

[22]  Jason Cong,et al.  An interconnect-centric design flow for nanometer technologies , 2001, Proc. IEEE.

[23]  Enrico Macii,et al.  Combining wire swapping and spacing for low-power deep-submicron buses , 2003, GLSVLSI '03.

[24]  M. Welland,et al.  Size effects in the electrical resistivity of polycrystalline nanowires , 2000 .

[25]  M. Shatzkes,et al.  Electrical-Resistivity Model for Polycrystalline Films: the Case of Arbitrary Reflection at External Surfaces , 1970 .