The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip Systems
暂无分享,去创建一个
Amlan Ganguly | M Meraj Ahmed | Naseef Mansoor | Rounak Singh Narde | Abhishek Vashist | Shamim | Tanmay Shinde | Suryanarayanan Subramaniam | Sagar Saxena | Jayanti Venkataraman | Mark Indovina | Mark A. Indovina | J. Venkataraman | A. Ganguly | N. Mansoor | Sagar Saxena | Abhishek Vashist | M. Ahmed | Shamim | Tanmay Shinde | Suryanarayanan Subramaniam
[1] B. T. Murphy,et al. Cost-size optima of monolithic integrated circuits , 1964 .
[2] Amlan Ganguly,et al. A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems , 2017, IEEE Transactions on Computers.
[3] Sujay Deb,et al. Energy-Efficient Transceiver for Wireless NoC , 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).
[4] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[5] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[6] Amlan Ganguly,et al. Feasibility study of transmission between wireless interconnects in multichip multicore systems , 2017, 2017 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting.
[7] Theodore S. Rappaport,et al. On-Chip Integrated Antenna Structures in CMOS for 60 GHz WPAN Systems , 2009, GLOBECOM 2009 - 2009 IEEE Global Telecommunications Conference.
[8] Sangyoung Park,et al. Design space exploration of drone infrastructure for large-scale delivery services , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[9] M. Dragoman,et al. Terahertz antenna based on graphene , 2010 .
[10] Haider R. Khaleel,et al. Flexible CPW-IFA antenna for wearable electronic devices , 2014, 2014 IEEE Antennas and Propagation Society International Symposium (APSURSI).
[11] Zhenghe Feng,et al. Air-Filled Long Slot Leaky-Wave Antenna Based on Folded Half-Mode Waveguide Using Silicon Bulk Micromachining Technology for Millimeter-Wave Band , 2017, IEEE Transactions on Antennas and Propagation.
[12] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[13] Niraj K. Jha,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007, ICCD.
[14] Radu Marculescu,et al. Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs , 2016, IEEE Transactions on Computers.
[15] Kees G. W. Goossens,et al. Bringing communication networks on a chip: test and verification implications , 2003, IEEE Commun. Mag..
[16] Partha Pratim Pande,et al. Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] James E. Jaussi,et al. A Scalable 5–15 Gbps, 14–75 mW Low-Power I/O Transceiver in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[18] Ronald L. Rivest,et al. Introduction to Algorithms, 3rd Edition , 2009 .
[19] Andres Kwasinski,et al. CDMA Enabled Wireless Network-on-Chip , 2014, JETC.
[20] Takayasu Sakurai,et al. A capacitive coupling interface with high sensitivity for wireless wafer testing , 2009, 2009 IEEE International Conference on 3D System Integration.
[21] Ali M. Niknejad,et al. A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication , 2012, 2012 Symposium on VLSI Circuits (VLSIC).
[22] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[23] David W. Matolak,et al. A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chip-to-Chip Interconnects , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] Radu Marculescu,et al. QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[25] Huey-Ru Chuang,et al. A 60-GHz Millimeter-Wave CMOS Integrated On-Chip Antenna and Bandpass Filter , 2011, IEEE Transactions on Electron Devices.
[26] Nan Jiang,et al. A detailed and flexible cycle-accurate Network-on-Chip simulator , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[27] Amlan Ganguly,et al. A demand-aware predictive dynamic bandwidth allocation mechanism for wireless network-on-chip , 2016, 2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
[28] J. S. Gomez-Diaz,et al. Graphene-based Antennas for Terahertz Systems: A Review , 2017, 1704.00371.
[29] K. Kempa,et al. Carbon Nanotubes as Optical Antennae , 2007 .
[30] David R. Kaeli,et al. Asymmetric NoC Architectures for GPU Systems , 2015, NOCS.
[31] Krishna C. Saraswat,et al. Technology and reliability constrained future copper interconnects. II. Performance implications , 2002 .
[32] Po-Tsang Huang,et al. Carrier synchronisation for multiband RF interconnect (MRFI) to facilitate chip-to-chip wireline communication , 2016 .
[33] Amlan Ganguly,et al. Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip , 2015, IEEE Transactions on Multi-Scale Computing Systems.
[34] Terrence S. T. Mak,et al. Hybrid wire-surface wave interconnects for next-generation networks-on-chip , 2013, IET Comput. Digit. Tech..
[35] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[36] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[37] Li-Shiuan Peh,et al. A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.
[38] Matthew Poremba,et al. Evaluation of using inductive/capacitive-coupling vertical interconnects in 3D network-on-chip , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[39] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[40] K. Yoshida,et al. Performance optimization of a 60 GHz Antenna-on-Chip over an Artificial Magnetic Conductor , 2012, 2012 Japan-Egypt Conference on Electronics, Communications and Computers.
[41] A. Shamim,et al. Gain-Enhanced On-Chip Folded Dipole Antenna Utilizing Artificial Magnetic Conductor at 94 GHz , 2017, IEEE Antennas and Wireless Propagation Letters.
[42] David W. Matolak,et al. Exploring Wireless Technology for Off-Chip Memory Access , 2016, 2016 IEEE 24th Annual Symposium on High-Performance Interconnects (HOTI).
[43] Kaushik Roy,et al. Process Variations and Process-Tolerant Design , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[44] Rajeev J. Ram,et al. Single-chip microprocessor that communicates directly using light , 2015, Nature.
[45] Ajaykumar Kannan,et al. Exploiting Interposer Technologies to Disintegrate and Reintegrate Multicore Processors , 2016, IEEE Micro.
[46] Eduard Alarcón,et al. Graphene-enabled wireless communication for massive multicore architectures , 2013, IEEE Communications Magazine.
[47] David Atienza,et al. 3D-ICE: A Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs , 2014, IEEE Transactions on Computers.
[48] Martin Margala,et al. Design of a wireless test control network with radio-on-chip technology for nanometer system-on-a-chip , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[49] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[50] A. Wei,et al. Challenges of analog and I/O scaling in 10nm SoC technology and beyond , 2014, 2014 IEEE International Electron Devices Meeting.
[51] A. Shamim,et al. The last barrier: on-chip antennas , 2013, IEEE Microwave Magazine.
[52] Chen Sun,et al. A Monolithically-Integrated Chip-to-Chip Optical Link in Bulk CMOS , 2015, IEEE J. Solid State Circuits.
[53] Amlan Ganguly,et al. A denial-of-service resilient wireless NoC architecture , 2012, GLSVLSI '12.
[54] Eduard Alarcón,et al. Scalability of Broadcast Performance in Wireless Network-on-Chip , 2016, IEEE Transactions on Parallel and Distributed Systems.
[55] Andrew S. Cassidy,et al. Real-Time Scalable Cortical Computing at 46 Giga-Synaptic OPS/Watt with ~100× Speedup in Time-to-Solution and ~100,000× Reduction in Energy-to-Solution , 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.
[56] Y. Xiong,et al. 60-GHz AMC-Based Circularly Polarized On-Chip Antenna Using Standard 0.18-$\mu$ m CMOS Technology , 2012, IEEE Transactions on Antennas and Propagation.
[57] Terrence Mak,et al. A Resilient 2-D Waveguide Communication Fabric for Hybrid Wired-Wireless NoC Design , 2017, IEEE Transactions on Parallel and Distributed Systems.
[58] Amlan Ganguly,et al. Increasing interposer utilization: A scalable, energy efficient and high bandwidth multicore-multichip integration solution , 2017, 2017 Eighth International Green and Sustainable Computing Conference (IGSC).
[59] N.K. Jha,et al. Toward Ideal On-Chip Communication Using Express Virtual Channels , 2008, IEEE Micro.
[60] Omer Khan,et al. Darsim: A Parallel Cycle-Level NoC Simulator , 2010 .
[61] Jongman Kim,et al. Do we need wide flits in Networks-on-Chip? , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[62] Mauricio Hanzich,et al. Broadcast-Enabled Massive Multicore Architectures: A Wireless RF Approach , 2015, IEEE Micro.
[63] Sujay Deb,et al. HyWin: Hybrid Wireless NoC with Sandboxed Sub-Networks for CPU/GPU Architectures , 2017, IEEE Transactions on Computers.
[64] J. Thomas Pawlowski,et al. Hybrid memory cube (HMC) , 2011, 2011 IEEE Hot Chips 23 Symposium (HCS).
[65] Kaixue Ma,et al. A 60GHz on-chip antenna in standard CMOS silicon Technology , 2012, 2012 IEEE Asia Pacific Conference on Circuits and Systems.
[66] Sandip Kundu,et al. A system-level solution for managing spatial temperature gradients in thinned 3D ICs , 2013, International Symposium on Quality Electronic Design (ISQED).
[67] Natalie D. Enright Jerger,et al. Interconnect-Memory Challenges for Multi-chip, Silicon Interposer Systems , 2015, MEMSYS.
[68] Joonyoung Kim,et al. HBM: Memory solution for bandwidth-hungry processors , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).
[69] Ronny Henker,et al. An 850-nm common-cathode VCSEL driver with tunable energy efficiency for 45 Gbit/s data transmission without equalization , 2017, 2017 IEEE Asia Pacific Microwave Conference (APMC).
[70] Shahriar Mirabbasi,et al. An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[71] Shahriar Mirabbasi,et al. A 1.2-pJ/bit 16-Gb/s 60-GHz OOK Transmitter in 65-nm CMOS for Wireless Network-On-Chip , 2014, IEEE Transactions on Microwave Theory and Techniques.
[72] Sujay Deb,et al. Interference-Aware Wireless Network-on-Chip Architecture Using Directional Antennas , 2017, IEEE Transactions on Multi-Scale Computing Systems.
[73] R. Senthinathan,et al. 20Gb/s 0.13/spl mu/m CMOS serial link transmitter using an LC-PLL to directly drive the output multiplexer , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[74] Albert Cabellos-Aparicio,et al. Time- and Frequency-Domain Analysis of Molecular Absorption in Short-Range Terahertz Communications , 2015, IEEE Antennas and Wireless Propagation Letters.
[75] Chita R. Das,et al. OSCAR: Orchestrating STT-RAM cache traffic for heterogeneous CPU-GPU architectures , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[76] Andrew S. Cassidy,et al. A million spiking-neuron integrated circuit with a scalable communication network and interface , 2014, Science.
[77] Piet Wambacq,et al. 21.4 A 42mW 230fs-jitter sub-sampling 60GHz PLL in 40nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[78] Vincenzo Catania,et al. A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[79] Yu Su,et al. Communication Using Antennas Fabricated in Silicon Integrated Circuits , 2007, IEEE Journal of Solid-State Circuits.
[80] Ian F. Akyildiz,et al. Graphene-based plasmonic nano-transceiver for terahertz band communication , 2014, The 8th European Conference on Antennas and Propagation (EuCAP 2014).
[81] Amlan Ganguly,et al. A 0.36pJ/bit, 17Gbps OOK receiver in 45-nm CMOS for inter and intra-chip wireless interconnects , 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).
[82] Tianzhou Chen,et al. An Exploration on Quantity and Layout of Wireless Nodes for Hybrid Wireless Network-on-Chip , 2014, 2014 IEEE Intl Conf on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS).
[83] Yu-Pin Hsu,et al. An ultra low-power front-end IC for wearable health monitoring system. , 2016, Conference proceedings : ... Annual International Conference of the IEEE Engineering in Medicine and Biology Society. IEEE Engineering in Medicine and Biology Society. Annual Conference.
[84] Chul Woo Byeon,et al. A 67-mW 10.7-Gb/s 60-GHz OOK CMOS Transceiver for Short-Range Wireless Communications , 2013, IEEE Transactions on Microwave Theory and Techniques.
[85] T. Yao,et al. SiGe BiCMOS 65-GHz BPSK transmitter and 30 to 122 GHz LC-varactor VCOs with up to 21% tuning range , 2004, IEEE Compound Semiconductor Integrated Circuit Symposium, 2004..
[86] Chita R. Das,et al. A case for heterogeneous on-chip interconnects for CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[87] Wei Zhang,et al. UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[88] Robert C. Wolpert,et al. A Review of the , 1985 .
[89] Radu Marculescu,et al. Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis , 2018, Computer.
[90] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[91] A.A.M. Saleh,et al. A Statistical Model for Indoor Multipath Propagation , 1987, IEEE J. Sel. Areas Commun..
[92] Olav Lysne,et al. Layered shortest path (LASH) routing in irregular system area networks , 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.
[93] Salvatore Monteleone,et al. Noxim: An open, extensible and cycle-accurate network on chip simulator , 2015, 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP).
[94] José L. Abellán,et al. Secure communications in wireless network-on-chips , 2017, AISTECS@HiPEAC.
[95] Enrico Macii,et al. The Human Brain Project and neuromorphic computing. , 2013, Functional neurology.
[96] Radu Marculescu,et al. "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[97] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[98] Natalie D. Enright Jerger,et al. NoC Architectures for Silicon Interposer Systems: Why Pay for more Wires when you Can Get them (from your interposer) for Free? , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
[99] Jau-Jr Lin,et al. Inter-chip wireless communication , 2013, 2013 7th European Conference on Antennas and Propagation (EuCAP).
[100] Qing Liu,et al. Quilt packaging: a new paradigm for interchip communication , 2005, 2005 7th Electronic Packaging Technology Conference.
[101] Jiang Xu,et al. Inter/intra-chip optical interconnection network: opportunities, challenges, and implementations , 2016, 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).
[102] Partha Pratim Pande,et al. Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.
[103] Marian Verhelst,et al. 20.1 A 40nm CMOS receiver for 60GHz discrete-carrier indoor localization achieving mm-precision at 4m range , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[104] Zhi Ming Chen,et al. Inter-Chip Wireless Communication Channel: Measurement, Characterization, and Modeling , 2007, IEEE Transactions on Antennas and Propagation.
[105] Sujay Deb,et al. Energy-efficient wireless network-on-chip architecture with log-periodic on-chip antennas , 2014, GLSVLSI '14.
[106] Christof Teuscher,et al. Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.
[107] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for wireless network-on-chip architectures , 2012, JETC.
[108] Amlan Ganguly,et al. Energy-efficient wireless interconnection framework for multichip systems with in-package memory stacks , 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).
[109] David R. Kaeli,et al. Multi2Sim: A simulation framework for CPU-GPU computing , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).
[110] Franco De Flaviis,et al. 60-GHz Substrate Materials Characterization Using the Covered Transmission-Line Method , 2015, IEEE Transactions on Microwave Theory and Techniques.
[111] J. Rizk,et al. RF CMOS technology scaling in High-k/metal gate era for RF SoC (system-on-chip) applications , 2010, 2010 International Electron Devices Meeting.