Temperature-aware dynamic voltage and frequency scaling enabled MPSoC modeling using Stochastic Activity Networks

Abstract The CMOS technology scaling brings new challenges in temperature, reliability, performance and leakage power. Most of the thermal management techniques compromise performance to control thermal behavior of the system by slowing down or turning off processors. In this paper, we use Stochastic Activity Networks (SANs) to model and evaluate the power consumption of a multi-core system with respect to thermal constraints. The Dynamic Voltage and Frequency Scaling (DVFS) technique is used, in our proposed model, for dynamically controlling the temperature of cores. We define multiple thresholds for the temperature of cores and apply the DVFS technique, by assigning lower voltage/frequency to the core with higher temperature. Results obtained from analytically solving the proposed SAN model are compared with the data gathered from experiments on a quad-core system. The accuracy of the proposed model in evaluating power consumption of six CPU-intensive applications is higher than 90% when compared with the experimental data.

[1]  Muhammad Shafique,et al.  Energy-Efficient Adaptive Pipelined MPSoCs for Multimedia Applications , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Fan Zhang,et al.  Power-aware processor scheduling under average delay constraints , 2005, 11th IEEE Real Time and Embedded Technology and Applications Symposium.

[3]  Masha Sosonkina,et al.  Runtime power-aware energy-saving scheme for parallel applications , 2017, Int. J. High Perform. Syst. Archit..

[4]  Qing Wu,et al.  A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  James Donald,et al.  Leveraging Simultaneous Multithreading for Adaptive Thermal Control , 2005 .

[6]  William H. Sanders,et al.  Stochastic Activity Networks: Formal Definitions and Concepts , 2002, European Educational Forum: School on Formal Methods and Performance Analysis.

[7]  Naehyuck Chang,et al.  Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[8]  Kun Cao,et al.  Static Thermal-Aware Task Assignment and Scheduling for Makespan Minimization in Heterogeneous Real-Time MPSoCs , 2016, 2016 International Symposium on System and Software Reliability (ISSSR).

[9]  Masha Sosonkina,et al.  Per-call Energy Saving Strategies in All-to-All Communications , 2011, EuroMPI.

[10]  Yajun Ha,et al.  Thermal-aware frequency scaling for adaptive workloads on heterogeneous MPSoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Kirk Pruhs,et al.  Speed Scaling to Manage Temperature , 2005, STACS.

[12]  Simon Holmbacka,et al.  Accurate energy modeling for many-core static schedules with streaming applications , 2016, Microprocess. Microsystems.

[13]  William H. Sanders,et al.  Möbius: An Extensible Tool for Performance and Dependability Modeling , 2000, Computer Performance Evaluation / TOOLS.

[14]  Yuzhong Shen,et al.  Runtime Power Limiting of Parallel Applications on Intel Xeon Phi Processors , 2016, 2016 4th International Workshop on Energy Efficient Supercomputing (E2SC).

[15]  M Abdollahi Azgomi,et al.  A MODELING TOOL FOR A NEW DEFINITION OF STOCHASTIC ACTIVITY NETWORKS , 2005 .

[16]  Massoud Pedram,et al.  Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model , 2013, International Symposium on Quality Electronic Design (ISQED).

[17]  Ümit Y. Ogras,et al.  A generic energy optimization framework for heterogeneous platforms using scaling models , 2016, Microprocess. Microsystems.

[18]  Giovanni De Micheli,et al.  A control theory approach for thermal balancing of MPSoC , 2009, 2009 Asia and South Pacific Design Automation Conference.

[19]  Pradip Bose,et al.  The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[20]  Cristinel Ababei,et al.  Dynamic energy management for chip multi-processors under performance constraints , 2017, Microprocess. Microsystems.

[21]  Li Shang,et al.  HybDTM: a coordinated hardware-software approach for dynamic thermal management , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[22]  Sayantan Sur,et al.  Designing Power-Aware Collective Communication Algorithms for InfiniBand Clusters , 2010, 2010 39th International Conference on Parallel Processing.

[23]  Masha Sosonkina,et al.  Joint frequency scaling of processor and DRAM , 2016, The Journal of Supercomputing.

[24]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[25]  Carole-Jean Wu,et al.  STEAM: A Smart Temperature and Energy Aware Multicore Controller , 2014, TECS.

[26]  Tajana Rosing,et al.  Proactive temperature balancing for low cost thermal management in MPSoCs , 2008, ICCAD 2008.

[27]  Zhao Zhang,et al.  Achieving energy efficiency during collective communications , 2013, Concurr. Comput. Pract. Exp..

[28]  Ali Movaghar-Rahimabadi,et al.  Performance and power modeling and evaluation of virtualized servers in IaaS clouds , 2017, Inf. Sci..

[29]  Shahin Nazarian,et al.  Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.

[30]  Yufu Zhang,et al.  Accurate temperature estimation using noisy thermal sensors , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[31]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[32]  Jie Wu,et al.  Minimizing Energy Consumption for Frame-Based Tasks on Heterogeneous Multiprocessor Platforms , 2015, IEEE Transactions on Parallel and Distributed Systems.

[33]  Masha Sosonkina,et al.  Initial investigation of a scheme to use instantaneous CPU power consumption for energy savings format , 2013, E2SC '13.

[34]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[35]  Ali Movaghar,et al.  STOCHASTIC ACTIVITY NETWORKS: A NEW DEFINITION AND SOME PROPERTIES , 2001 .

[36]  Ali Movaghar-Rahimabadi,et al.  Availability modeling of grid computing environments using SANs , 2011, SoftCOM 2011, 19th International Conference on Software, Telecommunications and Computer Networks.

[37]  Ali Movaghar-Rahimabadi,et al.  Performance aware scheduling considering resource availability in grid computing , 2017, Engineering with Computers.

[38]  R. Viswanath Thermal Performance Challenges from Silicon to Systems , 2000 .

[39]  Ali Movaghar,et al.  Performability modeling with stochastic activity networks , 1985 .